library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity plru is generic ( BITS : positive := 2 ) ; port ( clk : in std_ulogic; rst : in std_ulogic; acc : in std_ulogic_vector(BITS-1 downto 0); acc_en : in std_ulogic; lru : out std_ulogic_vector(BITS-1 downto 0) ); end entity plru; architecture rtl of plru is begin end;