Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / CHANGELOG
index a27adc5bff0333995c6e6da133c9f27d2bbc40e0..4004c534b8e1e75e330f17ee3d87f704a5fab854 100644 (file)
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -2,9 +2,15 @@
 List of major changes and improvements between releases
 =======================================================
 
-Yosys 0.16 .. Yosys 0.16-dev
+Yosys 0.17 .. Yosys 0.17-dev
 --------------------------
 
+Yosys 0.16 .. Yosys 0.17
+--------------------------
+ * New commands and options
+    - Added "write_jny" ( JSON netlist metadata format )
+    - Added "tribuf -formal"
+
  * SystemVerilog
     - Fixed automatic `nosync` inference for local variables in `always_comb`
       procedures not applying to nested blocks and blocks in functions