sv: fix always_comb auto nosync for nested and function blocks
[yosys.git] / CHANGELOG
index bec9f8321b8a476357736c987475e490267c4fdb..a27adc5bff0333995c6e6da133c9f27d2bbc40e0 100644 (file)
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -5,6 +5,10 @@ List of major changes and improvements between releases
 Yosys 0.16 .. Yosys 0.16-dev
 --------------------------
 
+ * SystemVerilog
+    - Fixed automatic `nosync` inference for local variables in `always_comb`
+      procedures not applying to nested blocks and blocks in functions
+
 Yosys 0.15 .. Yosys 0.16
 --------------------------
  * Various