examples: clean up oudated code.
[nmigen.git] / examples / basic / uart.py
index afbe48775cd1ad420f16d2e66ca3fa1d3c23f8c1..2a3b10690bc8ed331905ddf568db0e1eb40cb7a4 100644 (file)
@@ -103,7 +103,7 @@ if __name__ == "__main__":
 
     args = parser.parse_args()
     if args.action == "simulate":
-        from nmigen.back.pysim import Simulator, Passive
+        from nmigen.sim import Simulator, Passive
 
         sim = Simulator(uart)
         sim.add_clock(1e-6)