Plumb loadstore1 input from execute1 not decode2
[microwatt.git] / loadstore1.vhdl
index 1c16c461bf43399db0ae7bf0b4a16c6ca726999e..5b61d4c48cf840253ff052764c59a53d6c14382c 100644 (file)
@@ -13,7 +13,7 @@ entity loadstore1 is
     port (
         clk   : in std_ulogic;
 
-        l_in  : in Decode2ToLoadstore1Type;
+        l_in  : in Execute1ToLoadstore1Type;
 
         l_out : out Loadstore1ToDcacheType
         );