build.plat: TemplatedPlatform.iter_extra_files→Platform.iter_files.
[nmigen.git] / nmigen / vendor / lattice_machxo_2_3l.py
index 08c6008f44fe266310e62eec4b29a2be565190bf..64aa193482ac8628da50c04b3d2b9e86d94b9e36 100644 (file)
@@ -74,7 +74,7 @@ class LatticeMachXO2Or3LPlatform(TemplatedPlatform):
                 -dev {{platform.device}}-{{platform.speed}}{{platform.package}}{{platform.grade}} \
                 -lpf {{name}}.lpf \
                 -synthesis synplify
-            {% for file in platform.iter_extra_files(".v", ".sv", ".vhd", ".vhdl") -%}
+            {% for file in platform.iter_files(".v", ".sv", ".vhd", ".vhdl") -%}
                 prj_src add {{file|tcl_escape}}
             {% endfor %}
             prj_src add {{name}}.v