_blinky→test.blinky
[nmigen-boards.git] / nmigen_boards / ice40_hx8k_b_evn.py
index e27218ab5b8c7ad3e939b049fe0d5054a75282af..ce55e81df805d3261bde27ed4339f57fa176a14b 100644 (file)
@@ -63,5 +63,5 @@ class ICE40HX8KBEVNPlatform(LatticeICE40Platform):
 
 
 if __name__ == "__main__":
-    from ._blinky import Blinky
+    from .test.blinky import *
     ICE40HX8KBEVNPlatform().build(Blinky(), do_program=True)