_blinky→test.blinky
[nmigen-boards.git] / nmigen_boards / icebreaker.py
index 9971ee0e31fb94af1d3b0d125a23960b52e4d5d0..2f3034cff3d0d9dc33d46c35e656c45258dcb4eb 100644 (file)
@@ -82,7 +82,7 @@ class ICEBreakerPlatform(LatticeICE40Platform):
 
 
 if __name__ == "__main__":
-    from ._blinky import Blinky
+    from .test.blinky import *
     p = ICEBreakerPlatform()
     p.add_resources(p.break_off_pmod)
     p.build(Blinky(), do_program=True)