_blinky→test.blinky
[nmigen-boards.git] / nmigen_boards / mercury.py
index 5ad6254bdca1126c5fc50a9a7114ec1fd44284d3..c14e85cb8272acfe08b4d1e9dcae473e9f71bbd0 100644 (file)
@@ -218,7 +218,7 @@ class MercuryPlatform(XilinxSpartan3APlatform):
 
 
 if __name__ == "__main__":
-    from ._blinky import Blinky
+    from .test.blinky import *
     plat = MercuryPlatform()
     plat.add_resources(plat.leds)
     plat.build(Blinky(), do_program=True)