test uninited FFs with const clks and fix btor script for this
[SymbiYosys.git] / sbysrc / sby_core.py
index 4e57b21bf8186e62cd0c2a556462102280b421c5..5580d09c15998a4a7f47137acfc976f551dd53ae 100644 (file)
@@ -653,7 +653,7 @@ class SbyTask(SbyConfig):
                     print("abc", file=f)
                     print("opt_clean", file=f)
                 else:
-                    print("opt -fast", file=f)
+                    print("opt -fast -keepdc", file=f)
                 print("delete -output", file=f)
                 print("dffunmap", file=f)
                 print("stat", file=f)