user PADDR in peripherals not ADDR
[shakti-peripherals.git] / src / peripherals / gpio / gpio.bsv
index ff7ab71342cf058f667e5de8de018ad6722cdf5b..df55b67eea41f6a8a6a6449b514e798453f12d7b 100644 (file)
@@ -56,7 +56,7 @@ package gpio;
   interface GPIO#(numeric type ionum);
     interface GPIO_config#(ionum) pad_config;
     interface GPIO_func#(ionum) func;
-               interface AXI4_Lite_Slave_IFC#(`ADDR,`DATA,`USERSPACE) axi_slave;
+               interface AXI4_Lite_Slave_IFC#(`PADDR,`DATA,`USERSPACE) axi_slave;
        endinterface
 
        module mkgpio(GPIO#(ionum_));
@@ -74,7 +74,7 @@ package gpio;
                Vector#(ionum_,ConfigReg#(Bit#(1))) pwrupzhl_reg        <-replicateM(mkConfigReg(0));   
                Vector#(ionum_,ConfigReg#(Bit#(1))) pwrup_pull_en_reg   <-replicateM(mkConfigReg(0));   
                
-               AXI4_Lite_Slave_Xactor_IFC #(`ADDR, `DATA, `USERSPACE)  s_xactor <- mkAXI4_Lite_Slave_Xactor;
+               AXI4_Lite_Slave_Xactor_IFC #(`PADDR, `DATA, `USERSPACE)  s_xactor <- mkAXI4_Lite_Slave_Xactor;
                rule rl_wr_respond;
                        // Get the wr request
        let aw <- pop_o (s_xactor.o_wr_addr);
@@ -193,7 +193,7 @@ package gpio;
                endmethod
       endinterface;
       interface gpio_out = interface Get#(ionum)
-               method Vector#(ionum,Bit#(1))  get;
+               method ActionValue#(Vector#(ionum_,Bit#(1)))  get;
                        Vector#(ionum,Bit#(1)) temp;
                        for(Integer i=0;i<ionum;i=i+1)
                                temp[i]=dataout_register[i];
@@ -201,12 +201,13 @@ package gpio;
                endmethod
       endinterface;
       interface gpio_out_en = interface Get#(ionum)
-               method Vector#(ionum,Bit#(1))   get;
+               method ActionValue#(Vector#(ionum_,Bit#(1)))   get;
                        Vector#(ionum,Bit#(1)) temp;
                        for(Integer i=0;i<ionum;i=i+1)
                                temp[i]=pack(direction_reg[i]);
                        return temp;
                endmethod
+      endinterface;
     endinterface;
     interface pad_config=interface GPIO_config
                method Vector#(ionum,Bit#(1))   gpio_DRV0;