blegh.
[soc.git] / src / soc / simple / issuer.py
index 379c373e99de9a8116298a25e17d95f5087a816b..7ea8ff330b8017d21d80744ea366efb8c503590d 100644 (file)
@@ -170,6 +170,10 @@ class TestIssuerBase(Elaboratable):
                                  (pspec.microwatt_compat == True))
         self.alt_reset = Signal(reset_less=True) # not connected yet (microwatt)
 
+        if self.microwatt_compat:
+            self.microwatt_old = False
+            self.microwatt_debug = True # set to False when using an FPGA
+
         # test is SVP64 is to be enabled
         self.svp64_en = hasattr(pspec, "svp64") and (pspec.svp64 == True)
 
@@ -321,7 +325,8 @@ class TestIssuerBase(Elaboratable):
             self.srcmask = Signal(64)
             self.dstmask = Signal(64)
 
-        # sigh, the wishbone addresses are not wishbone-compliant in microwatt
+        # sigh, the wishbone addresses are not wishbone-compliant
+        # in old versions of microwatt, tplaten_3d_game is a new one
         if self.microwatt_compat:
             self.ibus_adr = Signal(32, name='wishbone_insn_out.adr')
             self.dbus_adr = Signal(32, name='wishbone_data_out.adr')
@@ -380,17 +385,22 @@ class TestIssuerBase(Elaboratable):
             if self.dbg_domain != 'sync':
                 comb += dbgclk.eq(ClockSignal())
 
+        # if using old version of microwatt
         # drop the first 3 bits of the incoming wishbone addresses
-        # this can go if using later versions of microwatt (not now)
         if self.microwatt_compat:
             ibus = self.imem.ibus
             dbus = self.core.l0.cmpi.wb_bus()
-            comb += self.ibus_adr.eq(Cat(Const(0, 3), ibus.adr))
-            comb += self.dbus_adr.eq(Cat(Const(0, 3), dbus.adr))
-            # microwatt verilator debug purposes
-            pi = self.core.l0.cmpi.pi.pi
-            comb += self.ldst_req.eq(pi.addr_ok_o)
-            comb += self.ldst_addr.eq(pi.addr)
+            if self.microwatt_old:
+                comb += self.ibus_adr.eq(Cat(Const(0, 3), ibus.adr))
+                comb += self.dbus_adr.eq(Cat(Const(0, 3), dbus.adr))
+            else:
+                comb += self.ibus_adr.eq(ibus.adr)
+                comb += self.dbus_adr.eq(dbus.adr)
+            if self.microwatt_debug:
+                # microwatt verilator debug purposes
+                pi = self.core.l0.cmpi.pi.pi
+                comb += self.ldst_req.eq(pi.addr_ok_o)
+                comb += self.ldst_addr.eq(pi.addr)
 
         cur_state = self.cur_state
 
@@ -436,10 +446,14 @@ class TestIssuerBase(Elaboratable):
             dbg_sync = ClockDomain(self.dbg_domain)
             m.domains += dbg_sync
 
+        # create a delay, but remember it is in the power-on-reset clock domain!
         ti_rst = Signal(reset_less=True)
         delay = Signal(range(4), reset=3)
+        stop_delay = Signal(range(16), reset=5)
         with m.If(delay != 0):
-            m.d.por += delay.eq(delay - 1)
+            m.d.por += delay.eq(delay - 1) # decrement... in POR domain!
+        with m.If(stop_delay != 0):
+            m.d.por += stop_delay.eq(stop_delay - 1) # likewise
         comb += cd_por.clk.eq(ClockSignal())
 
         # power-on reset delay
@@ -450,6 +464,9 @@ class TestIssuerBase(Elaboratable):
         else:
             with m.If(delay != 0 | dbg.core_rst_o):
                 comb += core_rst.eq(1)
+        with m.If(stop_delay != 0):
+            # run DMI core-stop as well but on an extra couple of cycles
+            comb += dbg.core_stopped_i.eq(1)
 
         # connect external reset signal to DMI Reset
         if self.dbg_domain != "sync":
@@ -608,6 +625,10 @@ class TestIssuerBase(Elaboratable):
         # reset current state if core reset requested
         with m.If(core_rst):
             m.d.sync += self.cur_state.eq(0)
+            # and, sigh, set configured values, which are also done in regfile
+            # XXX ??? what the hell is the shift for??
+            m.d.sync += self.cur_state.pc.eq(self.core.pc_at_reset)
+            m.d.sync += self.cur_state.msr.eq(self.core.msr_at_reset)
 
         # check halted condition: requested PC to execute matches DMI stop addr
         # and immediately stop. address of 0xffff_ffff_ffff_ffff can never
@@ -785,7 +806,7 @@ class TestIssuerInternal(TestIssuerBase):
     easy understanding) come later.
     """
 
-    def fetch_fsm(self, m, dbg, core, pc, msr, svstate, nia, is_svp64_mode,
+    def fetch_fsm(self, m, dbg, core, core_rst, nia, is_svp64_mode,
                         fetch_pc_o_ready, fetch_pc_i_valid,
                         fetch_insn_o_valid, fetch_insn_i_ready):
         """fetch FSM
@@ -799,6 +820,7 @@ class TestIssuerInternal(TestIssuerBase):
         pdecode2 = self.pdecode2
         cur_state = self.cur_state
         dec_opcode_i = pdecode2.dec.raw_opcode_in # raw opcode
+        pc, msr, svstate = cur_state.pc, cur_state.msr, cur_state.svstate
 
         # also note instruction fetch failed
         if hasattr(core, "icache"):
@@ -815,6 +837,12 @@ class TestIssuerInternal(TestIssuerBase):
 
         with m.FSM(name='fetch_fsm'):
 
+            # allow fetch to not run at startup due to I-Cache reset not
+            # having time to settle.  power-on-reset holds dbg.core_stopped_i
+            with m.State("PRE_IDLE"):
+                with m.If(~dbg.core_stopped_i & ~dbg.core_stop_o & ~core_rst):
+                    m.next = "IDLE"
+
             # waiting (zzz)
             with m.State("IDLE"):
                 # fetch allowed if not failed and stopped but not stepping
@@ -830,11 +858,6 @@ class TestIssuerInternal(TestIssuerBase):
                     comb += self.imem.a_pc_i.eq(pc)
                     comb += self.imem.a_i_valid.eq(1)
                     comb += self.imem.f_i_valid.eq(1)
-                    # transfer state to output
-                    sync += cur_state.pc.eq(pc)
-                    sync += cur_state.svstate.eq(svstate)  # and svstate
-                    sync += cur_state.msr.eq(msr)  # and msr
-
                     m.next = "INSN_READ"  # move to "wait for bus" phase
 
             # dummy pause to find out why simulation is not keeping up
@@ -1162,8 +1185,14 @@ class TestIssuerInternal(TestIssuerBase):
             fetch_failed = Const(0, 1)
             flush_needed = False
 
+        sync += fetch_pc_i_valid.eq(0)
+
         with m.FSM(name="issue_fsm"):
 
+            with m.State("PRE_IDLE"):
+                with m.If(~dbg.core_stop_o & ~core_rst):
+                    m.next = "ISSUE_START"
+
             # sync with the "fetch" phase which is reading the instruction
             # at this point, there is no instruction running, that
             # could inadvertently update the PC.
@@ -1173,7 +1202,10 @@ class TestIssuerInternal(TestIssuerBase):
                 # wait on "core stop" release, before next fetch
                 # need to do this here, in case we are in a VL==0 loop
                 with m.If(~dbg.core_stop_o & ~core_rst):
-                    comb += fetch_pc_i_valid.eq(1)  # tell fetch to start
+                    sync += fetch_pc_i_valid.eq(1)  # tell fetch to start
+                    sync += cur_state.pc.eq(dbg.state.pc)
+                    sync += cur_state.svstate.eq(dbg.state.svstate)
+                    sync += cur_state.msr.eq(dbg.state.msr)
                     with m.If(fetch_pc_o_ready):   # fetch acknowledged us
                         m.next = "INSN_WAIT"
                 with m.Else():
@@ -1570,8 +1602,7 @@ class TestIssuerInternal(TestIssuerBase):
         # Issue is where the VL for-loop # lives.  the ready/valid
         # signalling is used to communicate between the four.
 
-        self.fetch_fsm(m, dbg, core, dbg.state.pc, dbg.state.msr,
-                       dbg.state.svstate, nia, is_svp64_mode,
+        self.fetch_fsm(m, dbg, core, core_rst, nia, is_svp64_mode,
                        fetch_pc_o_ready, fetch_pc_i_valid,
                        fetch_insn_o_valid, fetch_insn_i_ready)
 
@@ -1593,9 +1624,10 @@ class TestIssuerInternal(TestIssuerBase):
                          exec_insn_i_valid, exec_insn_o_ready,
                          exec_pc_o_valid, exec_pc_i_ready)
 
-        # whatever was done above, over-ride it if core reset is held
+        # whatever was done above, over-ride it if core reset is held.
+        # set NIA to pc_at_reset
         with m.If(core_rst):
-            sync += nia.eq(0)
+            sync += nia.eq(self.core.pc_at_reset)
 
         return m