add depth 1
[SymbiYosys.git] / tests / unsorted / floor_divmod.sby
index 53218cc770f668f758a55373e111622618019148..df35f8a2584ae5341d4369a4c1ff9c5854a7a70a 100644 (file)
@@ -1,5 +1,6 @@
 [options]
 mode bmc
+depth 1
 
 [engines]
 smtbmc