X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fadd%2Fexample_buf_pipe.py;h=6d13099b93dc549b03598a43cb13cbc876681715;hb=5aa2f167e98633cc1cf2e896d717a132e8d1721b;hp=00eecc3ecd37db7b603c1dd4f50ce84e1b98ca52;hpb=b13c8a7a5368a53bedc71e5b8969c721103144c4;p=ieee754fpu.git diff --git a/src/add/example_buf_pipe.py b/src/add/example_buf_pipe.py index 00eecc3e..6d13099b 100644 --- a/src/add/example_buf_pipe.py +++ b/src/add/example_buf_pipe.py @@ -12,12 +12,12 @@ where data will flow on *every* clock when the conditions are right. input acceptance conditions are when: - * incoming previous-stage strobe (i.p_valid) is HIGH - * outgoing previous-stage ready (o.p_ready) is LOW + * incoming previous-stage strobe (p.i_valid) is HIGH + * outgoing previous-stage ready (p.o_ready) is LOW output transmission conditions are when: - * outgoing next-stage strobe (o.n_valid) is HIGH - * outgoing next-stage ready (i.n_ready) is LOW + * outgoing next-stage strobe (n.o_valid) is HIGH + * outgoing next-stage ready (n.i_ready) is LOW the tricky bit is when the input has valid data and the output is not ready to accept it. if it wasn't for the clock synchronisation, it @@ -45,176 +45,354 @@ from nmigen import Signal, Cat, Const, Mux, Module from nmigen.cli import verilog, rtlil +from nmigen.hdl.rec import Record, Layout +from collections.abc import Sequence -class ExampleStage: - """ an example of how to use the buffered pipeline. actual names of - variables (i_data, r_data, o_data, result) below do not matter: - the functions however do. - input data i_data is read (only), is processed and goes into an - intermediate result store [process()]. this is updated combinatorially. +class PrevControl: + """ contains signals that come *from* the previous stage (both in and out) + * i_valid: previous stage indicating all incoming data is valid. + may be a multi-bit signal, where all bits are required + to be asserted to indicate "valid". + * o_ready: output to next stage indicating readiness to accept data + * i_data : an input - added by the user of this class + """ - in a non-stall condition, the intermediate result will go into the - output (update_output). however if ever there is a stall, it goes - into r_data instead [update_buffer()]. + def __init__(self, i_width=1): + self.i_valid = Signal(i_width, name="p_i_valid") # prev >>in self + self.o_ready = Signal(name="p_o_ready") # prev < process() -> result --> o_data - | ^ - | | - +-> r_data -+ - """ - self.i_data = Signal(16) - self.r_data = Signal(16) - self.o_data = Signal(16) - self.result = Signal(16) - - def process(self): - """ process the input data and store it in result. - (not needed to be known: result is combinatorial) - """ - return self.result.eq(self.i_data + 1) + self.o_valid = Signal(name="n_o_valid") # self out>> next + self.i_ready = Signal(name="n_i_ready") # self <>in - comes in from PREVIOUS stage - self.n_ready = Signal() # in<< - comes in from the NEXT stage + def connect_in(self, prev): + """ helper function to connect stage to an input source. do not + use to connect stage-to-stage! + """ + return self.p.connect_in(prev.p) + def connect_out(self, nxt): + """ helper function to connect stage to an output source. do not + use to connect stage-to-stage! + """ + return self.n.connect_out(nxt.n) -class IOAckOut: + def set_input(self, i): + """ helper function to set the input data + """ + return eq(self.p.i_data, i) - def __init__(self): - self.n_valid = Signal() # out>> - goes out to the NEXT stage - self.p_ready = Signal() # <>in stage o.n_valid out>> stage+1 - stage-1 o.p_ready <>in stage o_data out>> stage+1 + stage-1 p.i_valid >>in stage n.o_valid out>> stage+1 + stage-1 p.o_ready <>in stage n.o_data out>> stage+1 | | - +-------> process + process --->----^ | | - +-- r_data ---+ + +-- r_data ->-+ + + input data p.i_data is read (only), is processed and goes into an + intermediate result store [process()]. this is updated combinatorially. + + in a non-stall condition, the intermediate result will go into the + output (update_output). however if ever there is a stall, it goes + into r_data instead [update_buffer()]. + + when the non-stall condition is released, r_data is the first + to be transferred to the output [flush_buffer()], and the stall + condition cleared. + + on the next cycle (as long as stall is not raised again) the + input may begin to be processed and transferred directly to output. """ - def __init__(self): - # input: strobe comes in from previous stage, ready comes in from next - self.i = IOAckIn() - #self.i.p_valid = Signal() # >>in - comes in from PREVIOUS stage - #self.i.n_ready = Signal() # in<< - comes in from the NEXT stage + def __init__(self, stage): + PipelineBase.__init__(self, stage) - # output: strobe goes out to next stage, ready comes in from previous - self.o = IOAckOut() - #self.o.n_valid = Signal() # out>> - goes out to the NEXT stage - #self.o.p_ready = Signal() # < 1: # multi-bit case: valid only when i_valid is all 1s + all1s = Const(-1, (len(self.p.i_valid), False)) + m.d.comb += p_i_valid.eq(self.p.i_valid == all1s) + else: # single-bit i_valid case + m.d.comb += p_i_valid.eq(self.p.i_valid) + m.d.comb += [ o_n_validn.eq(~self.n.o_valid), + i_p_valid_o_p_ready.eq(p_i_valid & self.p.o_ready), ] # store result of processing in combinatorial temporary - with m.If(self.i.p_valid): # input is valid: process it - m.d.comb += self.stage.process() + #with m.If(self.p.i_valid): # input is valid: process it + m.d.comb += eq(result, self.stage.process(self.p.i_data)) # if not in stall condition, update the temporary register - with m.If(self.o.p_ready): # not stalled - m.d.sync += self.stage.update_buffer() - - #with m.If(self.i.p_rst): # reset - # m.d.sync += self.o.n_valid.eq(0) - # m.d.sync += self.o.p_ready.eq(0) - with m.If(self.i.n_ready): # next stage is ready - with m.If(self.o.p_ready): # not stalled + with m.If(self.p.o_ready): # not stalled + m.d.sync += eq(r_data, result) # update buffer + + #with m.If(self.p.i_rst): # reset + # m.d.sync += self.n.o_valid.eq(0) + # m.d.sync += self.p.o_ready.eq(0) + with m.If(self.n.i_ready): # next stage is ready + with m.If(self.p.o_ready): # not stalled # nothing in buffer: send (processed) input direct to output - m.d.sync += [self.o.n_valid.eq(self.i.p_valid), - self.stage.update_output(), + m.d.sync += [self.n.o_valid.eq(p_i_valid), + eq(self.n.o_data, result), # update output ] - with m.Else(): # o.p_ready is false, and something is in buffer. + with m.Else(): # p.o_ready is false, and something is in buffer. # Flush the [already processed] buffer to the output port. - m.d.sync += [self.o.n_valid.eq(1), - self.stage.flush_buffer(), + m.d.sync += [self.n.o_valid.eq(1), + eq(self.n.o_data, r_data), # flush buffer # clear stall condition, declare register empty. - self.o.p_ready.eq(1), + self.p.o_ready.eq(1), ] - # ignore input, since o.p_ready is also false. + # ignore input, since p.o_ready is also false. - # (i.n_ready) is false here: next stage is ready + # (n.i_ready) is false here: next stage is ready with m.Elif(o_n_validn): # next stage being told "ready" - m.d.sync += [self.o.n_valid.eq(self.i.p_valid), - self.o.p_ready.eq(1), # Keep the buffer empty + m.d.sync += [self.n.o_valid.eq(p_i_valid), + self.p.o_ready.eq(1), # Keep the buffer empty # set the output data (from comb result) - self.stage.update_output(), + eq(self.n.o_data, result), ] - # (i.n_ready) false and (o.n_valid) true: + # (n.i_ready) false and (n.o_valid) true: with m.Elif(i_p_valid_o_p_ready): # If next stage *is* ready, and not stalled yet, accept input - m.d.sync += self.o.p_ready.eq(~(self.i.p_valid & self.o.n_valid)) + m.d.sync += self.p.o_ready.eq(~(p_i_valid & self.n.o_valid)) return m - def ports(self): - return [self.i.p_valid, self.i.n_ready, - self.o.n_valid, self.o.p_ready, - ] + +class ExampleAddStage: + """ an example of how to use the buffered pipeline, as a class instance + """ + + def ispec(self): + """ returns a tuple of input signals which will be the incoming data + """ + return (Signal(16), Signal(16)) + + def ospec(self): + """ returns an output signal which will happen to contain the sum + of the two inputs + """ + return Signal(16) + + def process(self, i): + """ process the input data (sums the values in the tuple) and returns it + """ + return i[0] + i[1] + + +class ExampleBufPipeAdd(BufferedPipeline): + """ an example of how to use the buffered pipeline, using a class instance + """ + + def __init__(self): + addstage = ExampleAddStage() + BufferedPipeline.__init__(self, addstage) + + +class ExampleStage: + """ an example of how to use the buffered pipeline, in a static class + fashion + """ + + def ispec(): + return Signal(16) + + def ospec(): + return Signal(16) + + def process(i): + """ process the input data and returns it (adds 1) + """ + return i + 1 class ExampleBufPipe(BufferedPipeline): + """ an example of how to use the buffered pipeline. + """ def __init__(self): - BufferedPipeline.__init__(self) - self.stage = ExampleStage() + BufferedPipeline.__init__(self, ExampleStage) + + +class CombPipe(PipelineBase): + """A simple pipeline stage containing combinational logic that can execute + completely in one clock cycle. + + Attributes: + ----------- + input : StageInput + The pipeline input + output : StageOutput + The pipeline output + r_data : Signal, input_shape + A temporary (buffered) copy of a prior (valid) input + result: Signal, output_shape + The output of the combinatorial logic + """ - def ports(self): - return self.stage.ports() + BufferedPipeline.ports(self) + def __init__(self, stage): + PipelineBase.__init__(self, stage) + self._data_valid = Signal() + + # set up the input and output data + self.p.i_data = stage.ispec() # input type + self.n.o_data = stage.ospec() # output type + + def elaborate(self, platform): + m = Module() + + r_data = self.stage.ispec() # input type + result = self.stage.ospec() # output data + if hasattr(self.stage, "setup"): + self.stage.setup(m, r_data) + + m.d.comb += eq(result, self.stage.process(r_data)) + m.d.comb += self.n.o_valid.eq(self._data_valid) + m.d.comb += self.p.o_ready.eq(~self._data_valid | self.n.i_ready) + m.d.sync += self._data_valid.eq(self.p.i_valid | \ + (~self.n.i_ready & self._data_valid)) + with m.If(self.p.i_valid & self.p.o_ready): + m.d.sync += eq(r_data, self.p.i_data) + m.d.comb += eq(self.n.o_data, result) + return m + + +class ExampleCombPipe(CombPipe): + """ an example of how to use the combinatorial pipeline. + """ + + def __init__(self): + CombPipe.__init__(self, ExampleStage) if __name__ == '__main__': - dut = BufPipe() + dut = ExampleBufPipe() vl = rtlil.convert(dut, ports=dut.ports()) with open("test_bufpipe.il", "w") as f: f.write(vl) + + dut = ExampleCombPipe() + vl = rtlil.convert(dut, ports=dut.ports()) + with open("test_combpipe.il", "w") as f: + f.write(vl)