X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fadd%2Fexample_buf_pipe.py;h=6d13099b93dc549b03598a43cb13cbc876681715;hb=5aa2f167e98633cc1cf2e896d717a132e8d1721b;hp=15d368c291d5a737c1b73c5a53bfa82c00ce09bb;hpb=f201e4d673a3d15db93de5a6b79eceab6b89ba0d;p=ieee754fpu.git diff --git a/src/add/example_buf_pipe.py b/src/add/example_buf_pipe.py index 15d368c2..6d13099b 100644 --- a/src/add/example_buf_pipe.py +++ b/src/add/example_buf_pipe.py @@ -45,19 +45,23 @@ from nmigen import Signal, Cat, Const, Mux, Module from nmigen.cli import verilog, rtlil +from nmigen.hdl.rec import Record, Layout + from collections.abc import Sequence class PrevControl: """ contains signals that come *from* the previous stage (both in and out) - * i_valid: input from previous stage indicating incoming data is valid + * i_valid: previous stage indicating all incoming data is valid. + may be a multi-bit signal, where all bits are required + to be asserted to indicate "valid". * o_ready: output to next stage indicating readiness to accept data * i_data : an input - added by the user of this class """ - def __init__(self): - self.i_valid = Signal(name="p_i_valid") # >>in - self.o_ready = Signal(name="p_o_ready") # <>in self + self.o_ready = Signal(name="p_o_ready") # prev <> - self.i_ready = Signal(name="n_i_ready") # <> next + self.i_ready = Signal(name="n_i_ready") # self < 1: # multi-bit case: valid only when i_valid is all 1s + all1s = Const(-1, (len(self.p.i_valid), False)) + m.d.comb += p_i_valid.eq(self.p.i_valid == all1s) + else: # single-bit i_valid case + m.d.comb += p_i_valid.eq(self.p.i_valid) + m.d.comb += [ o_n_validn.eq(~self.n.o_valid), + i_p_valid_o_p_ready.eq(p_i_valid & self.p.o_ready), ] # store result of processing in combinatorial temporary - with m.If(self.p.i_valid): # input is valid: process it - m.d.comb += eq(self.result, self.stage.process(self.p.i_data)) + #with m.If(self.p.i_valid): # input is valid: process it + m.d.comb += eq(result, self.stage.process(self.p.i_data)) # if not in stall condition, update the temporary register with m.If(self.p.o_ready): # not stalled - m.d.sync += self.update_buffer() + m.d.sync += eq(r_data, result) # update buffer #with m.If(self.p.i_rst): # reset # m.d.sync += self.n.o_valid.eq(0) @@ -234,13 +253,13 @@ class BufferedPipeline(PipelineBase): with m.If(self.n.i_ready): # next stage is ready with m.If(self.p.o_ready): # not stalled # nothing in buffer: send (processed) input direct to output - m.d.sync += [self.n.o_valid.eq(self.p.i_valid), - self.update_output(), + m.d.sync += [self.n.o_valid.eq(p_i_valid), + eq(self.n.o_data, result), # update output ] with m.Else(): # p.o_ready is false, and something is in buffer. # Flush the [already processed] buffer to the output port. m.d.sync += [self.n.o_valid.eq(1), - self.flush_buffer(), + eq(self.n.o_data, r_data), # flush buffer # clear stall condition, declare register empty. self.p.o_ready.eq(1), ] @@ -248,15 +267,15 @@ class BufferedPipeline(PipelineBase): # (n.i_ready) is false here: next stage is ready with m.Elif(o_n_validn): # next stage being told "ready" - m.d.sync += [self.n.o_valid.eq(self.p.i_valid), + m.d.sync += [self.n.o_valid.eq(p_i_valid), self.p.o_ready.eq(1), # Keep the buffer empty # set the output data (from comb result) - self.update_output(), + eq(self.n.o_data, result), ] # (n.i_ready) false and (n.o_valid) true: with m.Elif(i_p_valid_o_p_ready): # If next stage *is* ready, and not stalled yet, accept input - m.d.sync += self.p.o_ready.eq(~(self.p.i_valid & self.n.o_valid)) + m.d.sync += self.p.o_ready.eq(~(p_i_valid & self.n.o_valid)) return m @@ -320,24 +339,15 @@ class CombPipe(PipelineBase): """A simple pipeline stage containing combinational logic that can execute completely in one clock cycle. - Parameters: - ----------- - input_shape : int or tuple or None - the shape of ``input.data`` and ``comb_input`` - output_shape : int or tuple or None - the shape of ``output.data`` and ``comb_output`` - name : str - the name - Attributes: ----------- input : StageInput The pipeline input output : StageOutput The pipeline output - comb_input : Signal, input_shape - The input to the combinatorial logic - comb_output: Signal, output_shape + r_data : Signal, input_shape + A temporary (buffered) copy of a prior (valid) input + result: Signal, output_shape The output of the combinatorial logic """ @@ -347,23 +357,24 @@ class CombPipe(PipelineBase): # set up the input and output data self.p.i_data = stage.ispec() # input type - self.r_data = stage.ispec() # input type - self.result = stage.ospec() # output data self.n.o_data = stage.ospec() # output type - self.n.o_data.name = "outdata" def elaborate(self, platform): m = Module() + + r_data = self.stage.ispec() # input type + result = self.stage.ospec() # output data if hasattr(self.stage, "setup"): - self.stage.setup(m, self.r_data) - m.d.comb += eq(self.result, self.stage.process(self.r_data)) + self.stage.setup(m, r_data) + + m.d.comb += eq(result, self.stage.process(r_data)) m.d.comb += self.n.o_valid.eq(self._data_valid) m.d.comb += self.p.o_ready.eq(~self._data_valid | self.n.i_ready) m.d.sync += self._data_valid.eq(self.p.i_valid | \ (~self.n.i_ready & self._data_valid)) with m.If(self.p.i_valid & self.p.o_ready): - m.d.sync += eq(self.r_data, self.p.i_data) - m.d.comb += eq(self.n.o_data, self.result) + m.d.sync += eq(r_data, self.p.i_data) + m.d.comb += eq(self.n.o_data, result) return m