X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fadd%2Fexample_buf_pipe.py;h=b83d5035cc01c7ec338c1589359323b213e3099f;hb=f3945d1317aa236b752c1e286801caa2c3a07703;hp=568c7c692b901c69ef592708fa1d7f57bed2a052;hpb=e9ce8cefa80c97dc9dc027edb436b5ca97ae9d9f;p=ieee754fpu.git diff --git a/src/add/example_buf_pipe.py b/src/add/example_buf_pipe.py index 568c7c69..b83d5035 100644 --- a/src/add/example_buf_pipe.py +++ b/src/add/example_buf_pipe.py @@ -45,19 +45,23 @@ from nmigen import Signal, Cat, Const, Mux, Module from nmigen.cli import verilog, rtlil +from nmigen.hdl.rec import Record, Layout + from collections.abc import Sequence class PrevControl: """ contains signals that come *from* the previous stage (both in and out) - * i_valid: input from previous stage indicating incoming data is valid + * i_valid: previous stage indicating all incoming data is valid. + may be a multi-bit signal, where all bits are required + to be asserted to indicate "valid". * o_ready: output to next stage indicating readiness to accept data * i_data : an input - added by the user of this class """ - def __init__(self): - self.i_valid = Signal(name="p_i_valid") # >>in - self.o_ready = Signal(name="p_o_ready") # <>in self + self.o_ready = Signal(name="p_o_ready") # prev < 1: # multi-bit case: valid only when i_valid is all 1s + all1s = Const(-1, (len(self.i_valid), False)) + return self.i_valid == all1s + # single-bit i_valid case + return self.i_valid + class NextControl: """ contains the signals that go *to* the next stage (both in and out) @@ -76,8 +88,8 @@ class NextControl: * o_data : an output - added by the user of this class """ def __init__(self): - self.o_valid = Signal(name="n_o_valid") # out>> - self.i_ready = Signal(name="n_i_ready") # <> next + self.i_ready = Signal(name="n_i_ready") # self <>in stage n.o_valid out>> stage+1 - stage-1 p.o_ready <>in stage n.data out>> stage+1 - | | - process --->----^ - | | - +-- r_data ->-+ + * input to this class will be the input of the first stage + * output of first stage goes into input of second + * output of second goes into input into third (etc. etc.) + * the output of this class will be the output of the last stage + """ + def __init__(self, chain): + self.chain = chain - input data p.data is read (only), is processed and goes into an - intermediate result store [process()]. this is updated combinatorially. + def ispec(self): + return self.chain[0].ispec() - in a non-stall condition, the intermediate result will go into the - output (update_output). however if ever there is a stall, it goes - into r_data instead [update_buffer()]. + def ospec(self): + return self.chain[-1].ospec() + + def setup(self, m, i): + for (idx, c) in enumerate(self.chain): + if hasattr(c, "setup"): + c.setup(m, i) # stage may have some module stuff + o = self.chain[idx].ospec() # only the last assignment survives + m.d.comb += eq(o, c.process(i)) # process input into "o" + if idx != len(self.chain)-1: + ni = self.chain[idx+1].ispec() # becomes new input on next loop + m.d.comb += eq(ni, o) # assign output to next input + i = ni + self.o = o # last loop is the output - when the non-stall condition is released, r_data is the first - to be transferred to the output [flush_buffer()], and the stall - condition cleared. + def process(self, i): + return self.o - on the next cycle (as long as stall is not raised again) the - input may begin to be processed and transferred directly to output. + +class PipelineBase: + """ Common functions for Pipeline API """ - def __init__(self, stage): + def __init__(self, stage, in_multi=None): """ pass in a "stage" which may be either a static class or a class - instance, which has three functions: + instance, which has four functions (one optional): * ispec: returns input signals according to the input specification * ispec: returns output signals to the output specification * process: takes an input instance and returns processed data + * setup: performs any module linkage if the stage uses one. - p.data -> process() -> result --> n.data - | ^ - | | - +-> r_data -+ + User must also: + * add i_data member to PrevControl and + * add o_data member to NextControl """ self.stage = stage # set up input and output IO ACK (prev/next ready/valid) - self.p = PrevControl() + self.p = PrevControl(in_multi) self.n = NextControl() - # set up the input and output data - self.p.data = stage.ispec() # input type - self.r_data = stage.ospec() # all these are output type - self.result = stage.ospec() - self.n.data = stage.ospec() - def connect_to_next(self, nxt): """ helper function to connect to the next stage data/valid/ready. """ @@ -178,46 +221,72 @@ class BufferedPipeline: def set_input(self, i): """ helper function to set the input data """ - return eq(self.p.data, i) + return eq(self.p.i_data, i) - def update_buffer(self): - """ copies the result into the intermediate register r_data, - which will need to be outputted on a subsequent cycle - prior to allowing "normal" operation. - """ - return eq(self.r_data, self.result) + def ports(self): + return [self.p.i_valid, self.n.i_ready, + self.n.o_valid, self.p.o_ready, + self.p.i_data, self.n.o_data # XXX need flattening! + ] - def update_output(self): - """ copies the (combinatorial) result into the output - """ - return eq(self.n.data, self.result) - def flush_buffer(self): - """ copies the *intermediate* register r_data into the output - """ - return eq(self.n.data, self.r_data) +class BufferedPipeline(PipelineBase): + """ buffered pipeline stage. data and strobe signals travel in sync. + if ever the input is ready and the output is not, processed data + is stored in a temporary register. - def ports(self): - return [self.p.data, self.n.data] + stage-1 p.i_valid >>in stage n.o_valid out>> stage+1 + stage-1 p.o_ready <>in stage n.o_data out>> stage+1 + | | + process --->----^ + | | + +-- r_data ->-+ + + input data p.i_data is read (only), is processed and goes into an + intermediate result store [process()]. this is updated combinatorially. + + in a non-stall condition, the intermediate result will go into the + output (update_output). however if ever there is a stall, it goes + into r_data instead [update_buffer()]. + + when the non-stall condition is released, r_data is the first + to be transferred to the output [flush_buffer()], and the stall + condition cleared. + + on the next cycle (as long as stall is not raised again) the + input may begin to be processed and transferred directly to output. + """ + def __init__(self, stage): + PipelineBase.__init__(self, stage) + + # set up the input and output data + self.p.i_data = stage.ispec() # input type + self.n.o_data = stage.ospec() def elaborate(self, platform): m = Module() + + result = self.stage.ospec() + r_data = self.stage.ospec() if hasattr(self.stage, "setup"): - self.stage.setup(m, self.p.data) + self.stage.setup(m, self.p.i_data) # establish some combinatorial temporaries o_n_validn = Signal(reset_less=True) i_p_valid_o_p_ready = Signal(reset_less=True) - m.d.comb += [o_n_validn.eq(~self.n.o_valid), - i_p_valid_o_p_ready.eq(self.p.i_valid & self.p.o_ready), + p_i_valid = Signal(reset_less=True) + m.d.comb += [p_i_valid.eq(self.p.i_valid_logic()), + o_n_validn.eq(~self.n.o_valid), + i_p_valid_o_p_ready.eq(p_i_valid & self.p.o_ready), ] # store result of processing in combinatorial temporary - with m.If(self.p.i_valid): # input is valid: process it - m.d.comb += eq(self.result, self.stage.process(self.p.data)) + #with m.If(self.p.i_valid): # input is valid: process it + m.d.comb += eq(result, self.stage.process(self.p.i_data)) # if not in stall condition, update the temporary register with m.If(self.p.o_ready): # not stalled - m.d.sync += self.update_buffer() + m.d.sync += eq(r_data, result) # update buffer #with m.If(self.p.i_rst): # reset # m.d.sync += self.n.o_valid.eq(0) @@ -225,13 +294,13 @@ class BufferedPipeline: with m.If(self.n.i_ready): # next stage is ready with m.If(self.p.o_ready): # not stalled # nothing in buffer: send (processed) input direct to output - m.d.sync += [self.n.o_valid.eq(self.p.i_valid), - self.update_output(), + m.d.sync += [self.n.o_valid.eq(p_i_valid), + eq(self.n.o_data, result), # update output ] with m.Else(): # p.o_ready is false, and something is in buffer. # Flush the [already processed] buffer to the output port. m.d.sync += [self.n.o_valid.eq(1), - self.flush_buffer(), + eq(self.n.o_data, r_data), # flush buffer # clear stall condition, declare register empty. self.p.o_ready.eq(1), ] @@ -239,23 +308,18 @@ class BufferedPipeline: # (n.i_ready) is false here: next stage is ready with m.Elif(o_n_validn): # next stage being told "ready" - m.d.sync += [self.n.o_valid.eq(self.p.i_valid), + m.d.sync += [self.n.o_valid.eq(p_i_valid), self.p.o_ready.eq(1), # Keep the buffer empty # set the output data (from comb result) - self.update_output(), + eq(self.n.o_data, result), ] # (n.i_ready) false and (n.o_valid) true: with m.Elif(i_p_valid_o_p_ready): # If next stage *is* ready, and not stalled yet, accept input - m.d.sync += self.p.o_ready.eq(~(self.p.i_valid & self.n.o_valid)) + m.d.sync += self.p.o_ready.eq(~(p_i_valid & self.n.o_valid)) return m - def ports(self): - return [self.p.i_valid, self.n.i_ready, - self.n.o_valid, self.p.o_ready, - ] - class ExampleAddStage: """ an example of how to use the buffered pipeline, as a class instance @@ -293,10 +357,10 @@ class ExampleStage: """ def ispec(): - return Signal(16) + return Signal(16, name="example_input_signal") def ospec(): - return Signal(16) + return Signal(16, name="example_output_signal") def process(i): """ process the input data and returns it (adds 1) @@ -304,6 +368,23 @@ class ExampleStage: return i + 1 +class ExampleStageCls: + """ an example of how to use the buffered pipeline, in a static class + fashion + """ + + def ispec(self): + return Signal(16, name="example_input_signal") + + def ospec(self): + return Signal(16, name="example_output_signal") + + def process(self, i): + """ process the input data and returns it (adds 1) + """ + return i + 1 + + class ExampleBufPipe(BufferedPipeline): """ an example of how to use the buffered pipeline. """ @@ -312,67 +393,50 @@ class ExampleBufPipe(BufferedPipeline): BufferedPipeline.__init__(self, ExampleStage) -class CombPipe: +class CombPipe(PipelineBase): """A simple pipeline stage containing combinational logic that can execute completely in one clock cycle. - Parameters: - ----------- - input_shape : int or tuple or None - the shape of ``input.data`` and ``comb_input`` - output_shape : int or tuple or None - the shape of ``output.data`` and ``comb_output`` - name : str - the name - Attributes: ----------- input : StageInput The pipeline input output : StageOutput The pipeline output - comb_input : Signal, input_shape - The input to the combinatorial logic - comb_output: Signal, output_shape + r_data : Signal, input_shape + A temporary (buffered) copy of a prior (valid) input + result: Signal, output_shape The output of the combinatorial logic """ def __init__(self, stage): - self.stage = stage + PipelineBase.__init__(self, stage) self._data_valid = Signal() - # set up input and output IO ACK (prev/next ready/valid) - self.p = PrevControl() - self.n = NextControl() # set up the input and output data - self.p.data = stage.ispec() # input type - self.r_data = stage.ispec() # input type - self.result = stage.ospec() # output data - self.n.data = stage.ospec() # output type - self.n.data.name = "outdata" - - def set_input(self, i): - """ helper function to set the input data - """ - return eq(self.p.data, i) + self.p.i_data = stage.ispec() # input type + self.n.o_data = stage.ospec() # output type def elaborate(self, platform): m = Module() + + r_data = self.stage.ispec() # input type + result = self.stage.ospec() # output data if hasattr(self.stage, "setup"): - self.stage.setup(m, self.r_data) - m.d.comb += eq(self.result, self.stage.process(self.r_data)) + self.stage.setup(m, r_data) + + p_i_valid = Signal(reset_less=True) + m.d.comb += p_i_valid.eq(self.p.i_valid_logic()) + m.d.comb += eq(result, self.stage.process(r_data)) m.d.comb += self.n.o_valid.eq(self._data_valid) m.d.comb += self.p.o_ready.eq(~self._data_valid | self.n.i_ready) - m.d.sync += self._data_valid.eq(self.p.i_valid | \ + m.d.sync += self._data_valid.eq(p_i_valid | \ (~self.n.i_ready & self._data_valid)) with m.If(self.p.i_valid & self.p.o_ready): - m.d.sync += eq(self.r_data, self.p.data) - m.d.comb += eq(self.n.data, self.result) + m.d.sync += eq(r_data, self.p.i_data) + m.d.comb += eq(self.n.o_data, result) return m - def ports(self): - return [self.p.data, self.n.data] - class ExampleCombPipe(CombPipe): """ an example of how to use the combinatorial pipeline.