X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fadd%2Ffmul.py;h=130d49e814d05028f5248206c4e87611e304a35b;hb=286fdefc4bbe8c7b4bb34ae33b513e8bb81b3d7e;hp=1b9f461826775dd3c1b51eaa2ce0482a031d062d;hpb=a62c8c5881b2aa0054a0fa14eeae8723f92cb846;p=ieee754fpu.git diff --git a/src/add/fmul.py b/src/add/fmul.py index 1b9f4618..130d49e8 100644 --- a/src/add/fmul.py +++ b/src/add/fmul.py @@ -1,8 +1,8 @@ -from nmigen import Module, Signal +from nmigen import Module, Signal, Cat, Mux, Array, Const from nmigen.cli import main, verilog -from fpbase import FPNum, FPOp, Overflow, FPBase - +from fpbase import FPNumIn, FPNumOut, FPOp, Overflow, FPBase +from nmigen_add_experiment import FPState class FPMUL(FPBase): @@ -20,14 +20,18 @@ class FPMUL(FPBase): m = Module() # Latches - a = FPNum(self.width, False) - b = FPNum(self.width, False) - z = FPNum(self.width, False) + a = FPNumIn(None, self.width, False) + b = FPNumIn(None, self.width, False) + z = FPNumOut(self.width, False) - mw = (self.width)*2 - 1 + 3 # sticky/round/guard bits + (2*mant) - 1 + mw = (z.m_width)*2 - 1 + 3 # sticky/round/guard bits + (2*mant) - 1 product = Signal(mw) of = Overflow() + m.submodules.of = of + m.submodules.a = a + m.submodules.b = b + m.submodules.z = z with m.FSM() as fsm: @@ -48,32 +52,32 @@ class FPMUL(FPBase): with m.State("special_cases"): #if a or b is NaN return NaN - with m.If(a.is_nan() | b.is_nan()): + with m.If(a.is_nan | b.is_nan): m.next = "put_z" m.d.sync += z.nan(1) #if a is inf return inf - with m.Elif(a.is_inf()): + with m.Elif(a.is_inf): m.next = "put_z" - m.d.sync += z.inf(0) + m.d.sync += z.inf(a.s ^ b.s) #if b is zero return NaN - with m.If(b.is_zero()): + with m.If(b.is_zero): m.d.sync += z.nan(1) #if b is inf return inf - with m.Elif(b.is_inf()): + with m.Elif(b.is_inf): m.next = "put_z" - m.d.sync += z.inf(0) + m.d.sync += z.inf(a.s ^ b.s) #if a is zero return NaN - with m.If(a.is_zero()): + with m.If(a.is_zero): m.next = "put_z" m.d.sync += z.nan(1) #if a is zero return zero - with m.Elif(a.is_zero()): + with m.Elif(a.is_zero): m.next = "put_z" - m.d.sync += z.zero(0) + m.d.sync += z.zero(a.s ^ b.s) #if b is zero return zero - with m.Elif(b.is_zero()): + with m.Elif(b.is_zero): m.next = "put_z" - m.d.sync += z.zero(0) + m.d.sync += z.zero(a.s ^ b.s) # Denormalised Number checks with m.Else(): m.next = "normalise_a" @@ -103,12 +107,13 @@ class FPMUL(FPBase): #multiply_1 with m.State("multiply_1"): + mw = z.m_width m.next = "normalise_1" m.d.sync += [ - z.m.eq(product[26:50]), - of.guard.eq(product[25]), - of.round_bit.eq(product[24]), - of.sticky.eq(product[0:23] != 0) + z.m.eq(product[mw+2:]), + of.guard.eq(product[mw+1]), + of.round_bit.eq(product[mw]), + of.sticky.eq(product[0:mw] != 0) ] # ****** @@ -126,7 +131,8 @@ class FPMUL(FPBase): # rounding stage with m.State("round"): - self.roundz(m, z, of, "corrections") + self.roundz(m, z, of.roundz) + m.next = "corrections" # ****** # correction stage @@ -147,175 +153,6 @@ class FPMUL(FPBase): return m -""" -special_cases: - begin - //if a is NaN or b is NaN return NaN - if ((a_e == 128 && a_m != 0) || (b_e == 128 && b_m != 0)) begin - z[31] <= 1; - z[30:23] <= 255; - z[22] <= 1; - z[21:0] <= 0; - state <= put_z; - //if a is inf return inf - end else if (a_e == 128) begin - z[31] <= a_s ^ b_s; - z[30:23] <= 255; - z[22:0] <= 0; - //if b is zero return NaN - if (($signed(b_e) == -127) && (b_m == 0)) begin - z[31] <= 1; - z[30:23] <= 255; - z[22] <= 1; - z[21:0] <= 0; - end - state <= put_z; - //if b is inf return inf - end else if (b_e == 128) begin - z[31] <= a_s ^ b_s; - z[30:23] <= 255; - z[22:0] <= 0; - //if a is zero return NaN - if (($signed(a_e) == -127) && (a_m == 0)) begin - z[31] <= 1; - z[30:23] <= 255; - z[22] <= 1; - z[21:0] <= 0; - end - state <= put_z; - //if a is zero return zero - end else if (($signed(a_e) == -127) && (a_m == 0)) begin - z[31] <= a_s ^ b_s; - z[30:23] <= 0; - z[22:0] <= 0; - state <= put_z; - //if b is zero return zero - end else if (($signed(b_e) == -127) && (b_m == 0)) begin - z[31] <= a_s ^ b_s; - z[30:23] <= 0; - z[22:0] <= 0; - state <= put_z; - //^ done up to here - end else begin - //Denormalised Number - if ($signed(a_e) == -127) begin - a_e <= -126; - end else begin - a_m[23] <= 1; - end - //Denormalised Number - if ($signed(b_e) == -127) begin - b_e <= -126; - end else begin - b_m[23] <= 1; - end - state <= normalise_a; - end - end - - normalise_a: - begin - if (a_m[23]) begin - state <= normalise_b; - end else begin - a_m <= a_m << 1; - a_e <= a_e - 1; - end - end - - normalise_b: - begin - if (b_m[23]) begin - state <= multiply_0; - end else begin - b_m <= b_m << 1; - b_e <= b_e - 1; - end - end - - multiply_0: - begin - z_s <= a_s ^ b_s; - z_e <= a_e + b_e + 1; - product <= a_m * b_m * 4; - state <= multiply_1; - end - - multiply_1: - begin - z_m <= product[49:26]; - guard <= product[25]; - round_bit <= product[24]; - sticky <= (product[23:0] != 0); - state <= normalise_1; - end - - normalise_1: - begin - if (z_m[23] == 0) begin - z_e <= z_e - 1; - z_m <= z_m << 1; - z_m[0] <= guard; - guard <= round_bit; - round_bit <= 0; - end else begin - state <= normalise_2; - end - end - - normalise_2: - begin - if ($signed(z_e) < -126) begin - z_e <= z_e + 1; - z_m <= z_m >> 1; - guard <= z_m[0]; - round_bit <= guard; - sticky <= sticky | round_bit; - end else begin - state <= round; - end - end - - round: - begin - if (guard && (round_bit | sticky | z_m[0])) begin - z_m <= z_m + 1; - if (z_m == 24'hffffff) begin - z_e <=z_e + 1; - end - end - state <= pack; - end - - pack: - begin - z[22 : 0] <= z_m[22:0]; - z[30 : 23] <= z_e[7:0] + 127; - z[31] <= z_s; - if ($signed(z_e) == -126 && z_m[23] == 0) begin - z[30 : 23] <= 0; - end - //if overflow occur - s, return inf - if ($signed(z_e) > 127) begin - z[22 : 0] <= 0; - z[30 : 23] <= 255; - z[31] <= z_s; - end - state <= put_z; - end - - put_z: - begin - s_output_z_stb <= 1; - s_output_z <= z; - if (s_output_z_stb && output_z_ack) begin - s_output_z_stb <= 0; - state <= get_a; - end -end - -""" if __name__ == "__main__": alu = FPMUL(width=32)