X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fadd%2Ffpadd%2Fadd0.py;h=76790fe22bbd4d48220e98b78d694b8ef2567180;hb=6bff1a997f3846872cf489c24b5c01426c4dc97c;hp=cdeca5a0e370a0236891d36987c1eef4d3c72044;hpb=a69606444a49b6e1c35ff112cfa857ed18ae0886;p=ieee754fpu.git diff --git a/src/add/fpadd/add0.py b/src/add/fpadd/add0.py index cdeca5a0..76790fe2 100644 --- a/src/add/fpadd/add0.py +++ b/src/add/fpadd/add0.py @@ -2,19 +2,11 @@ # Copyright (C) Jonathan P Dawson 2013 # 2013-12-12 -from nmigen import Module, Signal, Cat, Mux, Array, Const -from nmigen.lib.coding import PriorityEncoder +from nmigen import Module, Signal, Cat, Elaboratable from nmigen.cli import main, verilog -from math import log -from fpbase import FPNumIn, FPNumOut, FPOp, Overflow, FPBase, FPNumBase -from fpbase import MultiShiftRMerge, Trigger -from singlepipe import (ControlBase, StageChain, UnbufferedPipeline, - PassThroughStage) -from multipipe import CombMuxOutPipe -from multipipe import PriorityCombMuxInPipe - -from fpbase import FPState, FPID +from fpbase import FPNumBase +from fpbase import FPState from fpcommon.denorm import FPSCData @@ -32,7 +24,7 @@ class FPAddStage0Data: self.tot.eq(i.tot), self.mid.eq(i.mid)] -class FPAddStage0Mod: +class FPAddStage0Mod(Elaboratable): def __init__(self, width, id_wid): self.width = width