X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fadd%2Fnmigen_div_experiment.py;h=a7e215cb888817b750426af676a7825552dee431;hb=6bff1a997f3846872cf489c24b5c01426c4dc97c;hp=e074c5c66364462b058db06f91d0554c6f2d613c;hpb=a36447fcd4d4f049b7127e1fc02dc1390d05fa75;p=ieee754fpu.git diff --git a/src/add/nmigen_div_experiment.py b/src/add/nmigen_div_experiment.py index e074c5c6..a7e215cb 100644 --- a/src/add/nmigen_div_experiment.py +++ b/src/add/nmigen_div_experiment.py @@ -5,8 +5,8 @@ from nmigen import Module, Signal, Const, Cat from nmigen.cli import main, verilog -from fpbase import FPNumIn, FPNumOut, FPOp, Overflow, FPBase -from nmigen_add_experiment import FPState, FPGetOp +from fpbase import FPNumIn, FPNumOut, FPOpIn, FPOpOut, Overflow, FPBase, FPState +from singlepipe import eq class Div: def __init__(self, width): @@ -33,9 +33,9 @@ class FPDIV(FPBase): FPBase.__init__(self) self.width = width - self.in_a = FPOp(width) - self.in_b = FPOp(width) - self.out_z = FPOp(width) + self.in_a = FPOpIn(width) + self.in_b = FPOpIn(width) + self.out_z = FPOpOut(width) self.states = [] @@ -43,7 +43,7 @@ class FPDIV(FPBase): self.states.append(state) return state - def get_fragment(self, platform=None): + def elaborate(self, platform=None): """ creates the HDL code-fragment for FPDiv """ m = Module() @@ -61,31 +61,24 @@ class FPDIV(FPBase): m.submodules.z = z m.submodules.of = of + m.d.comb += a.v.eq(self.in_a.v) + m.d.comb += b.v.eq(self.in_b.v) + with m.FSM() as fsm: # ****** # gets operand a - geta = FPGetOp("get_a", "get_b", self.in_a, self.width) - geta.setup(m, self.in_a) - with m.State("get_a"): - geta.action(m) - with m.If(geta.out_decode): - m.d.sync += a.decode(self.in_a.v) - #self.get_op(m, self.in_a, a, "get_b") + res = self.get_op(m, self.in_a, a, "get_b") + m.d.sync += eq([a, self.in_a.ready_o], res) # ****** # gets operand b - getb = FPGetOp("get_b", "special_cases", self.in_b, self.width) - getb.setup(m, self.in_b) - with m.State("get_b"): - getb.action(m) - with m.If(getb.out_decode): - m.d.sync += b.decode(self.in_b.v) - #self.get_op(m, self.in_b, b, "special_cases") + res = self.get_op(m, self.in_b, b, "special_cases") + m.d.sync += eq([b, self.in_b.ready_o], res) # ****** # special cases: NaNs, infs, zeros, denormalised