X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fmain%2Fscala%2Fdevices%2Fuart%2FUART.scala;h=de2cf554a33c1a4d061317b5f182619cee25fe98;hb=d973c659eb239d8bb1447ffe9a73df20cdd7bf04;hp=1a19be8eb03b94f68825d542c32ad69eb906562e;hpb=9ae6413273bcb498af3a9542e0f4dffe084eee83;p=sifive-blocks.git diff --git a/src/main/scala/devices/uart/UART.scala b/src/main/scala/devices/uart/UART.scala index 1a19be8..de2cf55 100644 --- a/src/main/scala/devices/uart/UART.scala +++ b/src/main/scala/devices/uart/UART.scala @@ -3,8 +3,6 @@ package sifive.blocks.devices.uart import Chisel._ import freechips.rocketchip.config.Parameters -import freechips.rocketchip.coreplex.RTCPeriod -import freechips.rocketchip.diplomacy.DTSTimebase import freechips.rocketchip.regmapper._ import freechips.rocketchip.tilelink._ import freechips.rocketchip.util._ @@ -15,6 +13,7 @@ case class UARTParams( address: BigInt, dataBits: Int = 8, stopBits: Int = 2, + divisorInit: Int = 0, divisorBits: Int = 16, oversample: Int = 4, nSamples: Int = 3, @@ -25,6 +24,7 @@ trait HasUARTParameters { def c: UARTParams def uartDataBits = c.dataBits def uartStopBits = c.stopBits + def uartDivisorInit = c.divisorInit def uartDivisorBits = c.divisorBits def uartOversample = c.oversample @@ -34,6 +34,7 @@ trait HasUARTParameters { def uartNTxEntries = c.nTxEntries def uartNRxEntries = c.nRxEntries + require(uartDivisorInit != 0) // should have been initialized during instantiation require(uartDivisorBits > uartOversample) require(uartOversampleFactor > uartNSamples) } @@ -68,10 +69,14 @@ class UARTTx(c: UARTParams)(implicit p: Parameters) extends UARTModule(c)(p) { val out = Reg(init = Bits(1, 1)) io.out := out + val plusarg_tx = PlusArg("uart_tx", 1, "Enable/disable the TX to speed up simulation").orR + val busy = (counter =/= UInt(0)) io.in.ready := io.en && !busy when (io.in.fire()) { - printf("%c", io.in.bits) + printf("UART TX (%x): %c\n", io.in.bits, io.in.bits) + } + when (io.in.fire() && plusarg_tx) { shifter := Cat(io.in.bits, Bits(0, 1)) counter := Mux1H((0 until uartStopBits).map(i => (io.nstop === UInt(i)) -> UInt(n + i + 1))) @@ -205,8 +210,7 @@ trait HasUARTTopModuleContents extends Module with HasUARTParameters with HasReg val rxm = Module(new UARTRx(params)) val rxq = Module(new Queue(rxm.io.out.bits, uartNRxEntries)) - val divinit = p(DTSTimebase) * BigInt(p(RTCPeriod).getOrElse(1)) / 115200 - val div = Reg(init = UInt(divinit, uartDivisorBits)) + val div = Reg(init = UInt(uartDivisorInit, uartDivisorBits)) private val stopCountBits = log2Up(uartStopBits) private val txCountBits = log2Floor(uartNTxEntries) + 1