X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fperipherals%2Frgbttl%2Frgbttl_dummy.bsv;h=095a6ec4018f2ea22a2687e9ff599549fa1a9413;hb=08e9924a02af2236c47a150d25666a7d42603848;hp=a52d5e7db27f36ee367a652d6a0ea0c6dbe3284f;hpb=2cb43799f59cc22a38b335d0eebf3ab62bb0fab5;p=shakti-peripherals.git diff --git a/src/peripherals/rgbttl/rgbttl_dummy.bsv b/src/peripherals/rgbttl/rgbttl_dummy.bsv index a52d5e7..095a6ec 100644 --- a/src/peripherals/rgbttl/rgbttl_dummy.bsv +++ b/src/peripherals/rgbttl/rgbttl_dummy.bsv @@ -36,8 +36,8 @@ package rgbttl_dummy; import AXI4_Types::*; interface Ifc_rgbttl_dummy; - interface AXI4_Master_IFC#(`ADDR, `DATA, `USERSPACE) master; - interface AXI4_Slave_IFC#(`ADDR, `DATA, `USERSPACE) slave; + interface AXI4_Master_IFC#(`PADDR, `DATA, `USERSPACE) master; + interface AXI4_Slave_IFC#(`PADDR, `DATA, `USERSPACE) slave; interface Get#(Bit#(1)) de; interface Get#(Bit#(1)) ck; interface Get#(Bit#(1)) vs; @@ -47,9 +47,9 @@ package rgbttl_dummy; (*synthesize*) module mkrgbttl_dummy(Ifc_rgbttl_dummy); - AXI4_Slave_Xactor_IFC#(`ADDR,`DATA, `USERSPACE) + AXI4_Slave_Xactor_IFC#(`PADDR,`DATA, `USERSPACE) s_xactor<-mkAXI4_Slave_Xactor(); - AXI4_Master_Xactor_IFC#(`ADDR,`DATA, `USERSPACE) + AXI4_Master_Xactor_IFC#(`PADDR,`DATA, `USERSPACE) m_xactor<-mkAXI4_Master_Xactor(); Reg#(Bit#(1)) rg_de <- mkReg(0);