X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fperipherals%2Frgbttl%2Frgbttl_dummy.bsv;h=19dfd1efc5bee6c277fcd87de51c90b0de23a669;hb=505bec2b8f012e7979cd1e1281fcb1ec41d3a220;hp=3bdb054c05e070fa903e8b0d30bf191210e6add6;hpb=a79131d550ab1207bd8535f7c682e3e66a7785e3;p=shakti-peripherals.git diff --git a/src/peripherals/rgbttl/rgbttl_dummy.bsv b/src/peripherals/rgbttl/rgbttl_dummy.bsv index 3bdb054..19dfd1e 100644 --- a/src/peripherals/rgbttl/rgbttl_dummy.bsv +++ b/src/peripherals/rgbttl/rgbttl_dummy.bsv @@ -46,7 +46,7 @@ package rgbttl_dummy; endinterface (*synthesize*) - module mkrgbttl_dummy(Ifc_rgbttl_dummy#(numeric type buswidth)); + module mkrgbttl_dummy(Ifc_rgbttl_dummy#(buswidth)) AXI4_Lite_Slave_Xactor_IFC#(`ADDR,`DATA, `USERSPACE) s_xactor<-mkAXI4_Lite_Slave_Xactor(); let v_buswidth = valueOf(v_buswidth);