X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fperipherals%2Fsdmmc%2Fsdcard_dummy.bsv;h=823394b2dc8880e2bcc4c90cf5de66995e1744b1;hb=1efc6a5de43eb5ca878b695a6accc7fdb7600034;hp=dbe136cadc305aa3d94da4ccd5538e0a53298a62;hpb=c985549ddd57af7aab58c31e3cd460f70753e067;p=shakti-peripherals.git diff --git a/src/peripherals/sdmmc/sdcard_dummy.bsv b/src/peripherals/sdmmc/sdcard_dummy.bsv index dbe136c..823394b 100644 --- a/src/peripherals/sdmmc/sdcard_dummy.bsv +++ b/src/peripherals/sdmmc/sdcard_dummy.bsv @@ -61,7 +61,7 @@ package sdcard_dummy; Reg#(Bit#(1)) rg_cmd <- mkReg(0); Reg#(Bit#(1)) rg_clk <- mkReg(0); Reg#(Bit#(`SDBUSWIDTH)) rg_out <- mkReg(0); - Reg#(Bit#(`SDBUSWIDTH)) rg_outen <- mkReg(0); + Reg#(Bit#(`SDBUSWIDTH)) rg_out_en <- mkReg(0); Reg#(Bit#(`SDBUSWIDTH)) rg_in <- mkReg(0); interface cmd = interface Get