xics: Fix warning when comparing two std_ulogic_vectors
authorAnton Blanchard <anton@linux.ibm.com>
Tue, 15 Mar 2022 05:04:18 +0000 (16:04 +1100)
committerAnton Blanchard <anton@ozlabs.org>
Tue, 15 Mar 2022 05:04:18 +0000 (16:04 +1100)
commit00bf0af21c74a1727ed7786baa3f186ffd9d80d5
tree54d9253b6daf0a1f99ccf956e39c222517fe3552
parentf01f3d233ae4de595fa29beb305d00ce960f041e
xics: Fix warning when comparing two std_ulogic_vectors

Use unsigned() to make it clear what we are doing.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
xics.vhdl