Implement SV structs.
authorPeter Crozier <peter@crozier.com>
Fri, 8 May 2020 13:40:49 +0000 (14:40 +0100)
committerPeter Crozier <peter@crozier.com>
Fri, 8 May 2020 13:40:49 +0000 (14:40 +0100)
commit0b6b47ca670b9219bcb81ab7d3599267c2ef7571
tree7f185ed9add86d52c5f55828e07b190420c77511
parentaafaeb66dfd839b8223059884d2741dadc9e2d92
Implement SV structs.
README.md
frontends/ast/ast.cc
frontends/ast/ast.h
frontends/ast/genrtlil.cc
frontends/ast/simplify.cc
frontends/verilog/verilog_lexer.l
frontends/verilog/verilog_parser.y
tests/svtypes/struct_simple.sv [new file with mode: 0644]
tests/svtypes/typedef_struct.sv [new file with mode: 0644]