verilog: support for time scale delay values
authorZachary Snow <zach@zachjs.com>
Fri, 11 Feb 2022 21:57:31 +0000 (22:57 +0100)
committerZachary Snow <zachary.j.snow@gmail.com>
Mon, 14 Feb 2022 14:58:31 +0000 (15:58 +0100)
commit15a4e900b2e8f61464c7d24751b1d0182a894a1b
tree8bfcdb75dd18e39843491429fc1e5849b70ed5b1
parent68c67c40ec75b192f4f1be9711afe0df8973e797
verilog: support for time scale delay values
CHANGELOG
frontends/verilog/verilog_lexer.l
frontends/verilog/verilog_parser.y
tests/verilog/delay_time_scale.ys [new file with mode: 0644]