Fix "make vgtest" so it runs to the end (but now it fails ;)
authorClaire Xenia Wolf <claire@clairexen.net>
Wed, 22 Sep 2021 15:34:20 +0000 (17:34 +0200)
committerClaire Xenia Wolf <claire@clairexen.net>
Thu, 23 Sep 2021 12:54:28 +0000 (14:54 +0200)
commit15fb0107dcdfcf98c56f229727c7cd701ff9b4b3
tree53d8c5a6530545103701e0842d926b40a657748c
parent3931b3a03f65965daca20b1228d8882192e74650
Fix "make vgtest" so it runs to the end (but now it fails ;)

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
40 files changed:
tests/simple/attrib01_module.v
tests/simple/attrib02_port_decl.v
tests/simple/attrib03_parameter.v
tests/simple/attrib04_net_var.v
tests/simple/attrib05_port_conn.v.DISABLED
tests/simple/attrib06_operator_suffix.v
tests/simple/attrib07_func_call.v.DISABLED
tests/simple/attrib08_mod_inst.v
tests/simple/attrib09_case.v
tests/simple/case_expr_const.v
tests/simple/case_expr_non_const.v
tests/simple/case_large.v
tests/simple/const_branch_finish.v
tests/simple/const_fold_func.v
tests/simple/const_func_shadow.v
tests/simple/defvalue.sv
tests/simple/func_block.v
tests/simple/func_recurse.v
tests/simple/func_width_scope.v
tests/simple/genblk_collide.v
tests/simple/genblk_dive.v
tests/simple/genblk_order.v
tests/simple/genblk_port_shadow.v
tests/simple/hierarchy.v
tests/simple/ifdef_1.v
tests/simple/ifdef_2.v
tests/simple/local_loop_var.sv
tests/simple/loop_prefix_case.v
tests/simple/loop_var_shadow.v
tests/simple/macro_arg_spaces.sv
tests/simple/macro_arg_surrounding_spaces.v
tests/simple/matching_end_labels.sv
tests/simple/mem2reg_bounds_tern.v
tests/simple/module_scope.v
tests/simple/module_scope_case.v
tests/simple/named_genblk.v
tests/simple/nested_genblk_resolve.v
tests/simple/string_format.v
tests/simple/unnamed_block_decl.sv
tests/simple/wandwor.v