lib.fifo: in FIFOInterface.read(), check readable on the right cycle.
authorwhitequark <whitequark@whitequark.org>
Tue, 22 Jan 2019 07:03:46 +0000 (07:03 +0000)
committerwhitequark <whitequark@whitequark.org>
Tue, 22 Jan 2019 07:03:46 +0000 (07:03 +0000)
commit1782b841b27e5d0ec13e8ed9d0825d50fd97e513
tree45d0694fda00f96d06655491e92b20e0a9b3898a
parenteeb023a7f57cfc617f4c9bec50a5ff6cf51a7ccc
lib.fifo: in FIFOInterface.read(), check readable on the right cycle.
nmigen/lib/fifo.py