syscon: Implement a register for storing git hash info
authorDan Horák <dan@danny.cz>
Fri, 28 Aug 2020 12:24:07 +0000 (14:24 +0200)
committerMichael Neuling <mikey@neuling.org>
Mon, 29 Aug 2022 04:58:35 +0000 (14:58 +1000)
commit1ddbacb67fdfc1c143f6754542f5cbf8587b9b25
tree05d3ea32aace983951b60145691d0bb28d9fab72
parent050185e2caabfb7a0ec11a433955fca18781d83a
syscon: Implement a register for storing git hash info

It also stores the dirty status so that's known.

This does some Makefile tricks so that we only rebuild when the git
hash changes. This avoids rebuilding the world every time we run
make.

Also adds fusesoc generator, so that should continue to work as
before.

Signed-off-by: Dan Horák <dan@danny.cz>
Signed-off-by: Michael Neuling <mikey@neuling.org>
.github/workflows/test.yml
.gitignore
Makefile
git.vhdl.in [new file with mode: 0644]
include/microwatt_soc.h
microwatt.core
scripts/make_version.sh [new file with mode: 0755]
scripts/make_version_fusesoc.py [new file with mode: 0755]
syscon.vhdl