vendor.intel: add Mistral toolchain support.
authorOlivier Galibert <galibert@pobox.com>
Thu, 14 Oct 2021 16:02:22 +0000 (18:02 +0200)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 31 Dec 2021 19:48:54 +0000 (19:48 +0000)
commit23f71424772bd05f1e9b302aa38fe85aa5ee1505
tree63ea0fb1e4e8e3bf0986444fd92e1a265199e689
parent3fa9afcdd32dac6323f78ca96359b1c1fc4750fc
vendor.intel: add Mistral toolchain support.
nmigen/vendor/intel.py