sim.pysim: refuse to write VCD files with whitespace in signal names.
authorwhitequark <whitequark@whitequark.org>
Sat, 11 Dec 2021 11:12:25 +0000 (11:12 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 31 Dec 2021 20:11:33 +0000 (20:11 +0000)
commit25fb949a9b41eb8dd66d493b7c393e54d62f09a4
tree9cb09bc64cc40bfc260a5af514ff65f66fca664f
parent2e6ae1c7c047a050982e24af964ee98d6fb82f91
sim.pysim: refuse to write VCD files with whitespace in signal names.

Closes #595.
nmigen/sim/pysim.py
tests/test_sim.py