Consistent use of 'override' for virtual methods in derived classes.
authorHenner Zeller <h.zeller@acm.org>
Sat, 21 Jul 2018 06:41:18 +0000 (23:41 -0700)
committerHenner Zeller <h.zeller@acm.org>
Sat, 21 Jul 2018 06:51:06 +0000 (23:51 -0700)
commit3aa4484a3cd9a2e82fddd499cde575eaf8c565cc
tree39d2caa1122c32a56231ecd99fe3364721b53c3c
parent323f6f6f6006eadcaec180f2cc1556f1f3303be3
Consistent use of 'override' for virtual methods in derived classes.

o Not all derived methods were marked 'override', but it is a great
  feature of C++11 that we should make use of.
o While at it: touched header files got a -*- c++ -*- for emacs to
  provide support for that language.
o use YS_OVERRIDE for all override keywords (though we should probably
  use the plain keyword going forward now that C++11 is established)
170 files changed:
CodingReadme
backends/aiger/aiger.cc
backends/blif/blif.cc
backends/btor/btor.cc
backends/edif/edif.cc
backends/firrtl/firrtl.cc
backends/ilang/ilang_backend.cc
backends/intersynth/intersynth.cc
backends/json/json.cc
backends/protobuf/protobuf.cc
backends/simplec/simplec.cc
backends/smt2/smt2.cc
backends/smv/smv.cc
backends/spice/spice.cc
backends/table/table.cc
backends/verilog/verilog_backend.cc
examples/cxx-api/evaldemo.cc
frontends/ast/ast.h
frontends/blif/blifparse.cc
frontends/ilang/ilang_frontend.cc
frontends/json/jsonparse.cc
frontends/liberty/liberty.cc
frontends/verific/verific.cc
frontends/verilog/verilog_frontend.cc
kernel/celledges.h
kernel/modtools.h
kernel/register.cc
kernel/register.h
kernel/rtlil.h
kernel/satgen.h
kernel/yosys.cc
kernel/yosys.h
manual/CHAPTER_Prog/stubnets.cc
manual/PRESENTATION_Prog/my_cmd.cc
passes/cmds/add.cc
passes/cmds/blackbox.cc
passes/cmds/check.cc
passes/cmds/chformal.cc
passes/cmds/chtype.cc
passes/cmds/connect.cc
passes/cmds/connwrappers.cc
passes/cmds/copy.cc
passes/cmds/cover.cc
passes/cmds/delete.cc
passes/cmds/design.cc
passes/cmds/edgetypes.cc
passes/cmds/logcmd.cc
passes/cmds/ltp.cc
passes/cmds/plugin.cc
passes/cmds/qwp.cc
passes/cmds/rename.cc
passes/cmds/scatter.cc
passes/cmds/scc.cc
passes/cmds/select.cc
passes/cmds/setattr.cc
passes/cmds/setundef.cc
passes/cmds/show.cc
passes/cmds/splice.cc
passes/cmds/splitnets.cc
passes/cmds/stat.cc
passes/cmds/tee.cc
passes/cmds/torder.cc
passes/cmds/trace.cc
passes/cmds/write_file.cc
passes/equiv/equiv_add.cc
passes/equiv/equiv_induct.cc
passes/equiv/equiv_make.cc
passes/equiv/equiv_mark.cc
passes/equiv/equiv_miter.cc
passes/equiv/equiv_purge.cc
passes/equiv/equiv_remove.cc
passes/equiv/equiv_simple.cc
passes/equiv/equiv_status.cc
passes/equiv/equiv_struct.cc
passes/fsm/fsm.cc
passes/fsm/fsm_detect.cc
passes/fsm/fsm_expand.cc
passes/fsm/fsm_export.cc
passes/fsm/fsm_extract.cc
passes/fsm/fsm_info.cc
passes/fsm/fsm_map.cc
passes/fsm/fsm_opt.cc
passes/fsm/fsm_recode.cc
passes/hierarchy/hierarchy.cc
passes/hierarchy/submod.cc
passes/hierarchy/uniquify.cc
passes/memory/memory.cc
passes/memory/memory_bram.cc
passes/memory/memory_collect.cc
passes/memory/memory_dff.cc
passes/memory/memory_map.cc
passes/memory/memory_memx.cc
passes/memory/memory_nordff.cc
passes/memory/memory_share.cc
passes/memory/memory_unpack.cc
passes/opt/opt.cc
passes/opt/opt_clean.cc
passes/opt/opt_demorgan.cc
passes/opt/opt_expr.cc
passes/opt/opt_merge.cc
passes/opt/opt_muxtree.cc
passes/opt/opt_reduce.cc
passes/opt/opt_rmdff.cc
passes/opt/rmports.cc
passes/opt/share.cc
passes/opt/wreduce.cc
passes/proc/proc.cc
passes/proc/proc_arst.cc
passes/proc/proc_clean.cc
passes/proc/proc_dff.cc
passes/proc/proc_dlatch.cc
passes/proc/proc_init.cc
passes/proc/proc_mux.cc
passes/proc/proc_rmdead.cc
passes/sat/assertpmux.cc
passes/sat/async2sync.cc
passes/sat/clk2fflogic.cc
passes/sat/eval.cc
passes/sat/expose.cc
passes/sat/freduce.cc
passes/sat/miter.cc
passes/sat/sat.cc
passes/sat/sim.cc
passes/techmap/abc.cc
passes/techmap/aigmap.cc
passes/techmap/alumacc.cc
passes/techmap/attrmap.cc
passes/techmap/attrmvcp.cc
passes/techmap/deminout.cc
passes/techmap/dff2dffe.cc
passes/techmap/dff2dffs.cc
passes/techmap/dffinit.cc
passes/techmap/dfflibmap.cc
passes/techmap/dffsr2dff.cc
passes/techmap/extract.cc
passes/techmap/extract_counter.cc
passes/techmap/extract_fa.cc
passes/techmap/extract_reduce.cc
passes/techmap/hilomap.cc
passes/techmap/insbuf.cc
passes/techmap/iopadmap.cc
passes/techmap/lut2mux.cc
passes/techmap/maccmap.cc
passes/techmap/muxcover.cc
passes/techmap/nlutmap.cc
passes/techmap/pmuxtree.cc
passes/techmap/shregmap.cc
passes/techmap/simplemap.cc
passes/techmap/techmap.cc
passes/techmap/tribuf.cc
passes/techmap/zinit.cc
passes/tests/test_abcloop.cc
passes/tests/test_autotb.cc
passes/tests/test_cell.cc
techlibs/achronix/synth_achronix.cc
techlibs/common/prep.cc
techlibs/common/synth.cc
techlibs/coolrunner2/coolrunner2_sop.cc
techlibs/coolrunner2/synth_coolrunner2.cc
techlibs/easic/synth_easic.cc
techlibs/ecp5/synth_ecp5.cc
techlibs/gowin/synth_gowin.cc
techlibs/greenpak4/greenpak4_dffinv.cc
techlibs/greenpak4/synth_greenpak4.cc
techlibs/ice40/ice40_ffinit.cc
techlibs/ice40/ice40_ffssr.cc
techlibs/ice40/ice40_opt.cc
techlibs/ice40/synth_ice40.cc
techlibs/intel/synth_intel.cc
techlibs/xilinx/synth_xilinx.cc