Correctly handle resets in AsyncFIFO.
authorawygle <awygle@gmail.com>
Sat, 14 Mar 2020 23:26:07 +0000 (16:26 -0700)
committerGitHub <noreply@github.com>
Sat, 14 Mar 2020 23:26:07 +0000 (23:26 +0000)
commit4601dd0a69689fec3471e9a365bf87c00c507eef
tree9f0fbd6eadb826e5c6631e9a6fab480fc2267f31
parent12c79025f3cff1a3c8f5d608905b6d44f9f31ffc
Correctly handle resets in AsyncFIFO.

This commit improves handling of resets in AsyncFIFO in two ways:
  * First, resets no longer violate Gray counter CDC invariants.
  * Second, write domain reset now empties the entire FIFO.
nmigen/hdl/cd.py
nmigen/lib/fifo.py
nmigen/test/test_lib_fifo.py