vendor.intel: silence meaningless warnings in nMigen files
authorDan Ravensloft <dan.ravensloft@gmail.com>
Sun, 1 Dec 2019 00:07:48 +0000 (00:07 +0000)
committerwhitequark <whitequark@whitequark.org>
Sun, 1 Dec 2019 00:07:48 +0000 (00:07 +0000)
commit72cfdb0c93e527ed29cde872563efea473a276c6
tree3aecaa31a0cf303e50338c174e212e421116db2a
parent7df70059d1483677b1c4d24eaed3bac323b32a11
vendor.intel: silence meaningless warnings in nMigen files
nmigen/vendor/intel.py