Merge branch 'const-func-block-var' of https://github.com/zachjs/yosys into zachjs...
authorClaire Wolf <claire@symbioticeda.com>
Tue, 18 Aug 2020 15:27:51 +0000 (17:27 +0200)
committerClaire Wolf <claire@symbioticeda.com>
Tue, 18 Aug 2020 15:29:49 +0000 (17:29 +0200)
commit7f767bf2b77e53b63dac5e4e6875a04ccb9b2044
tree2d50d69dd84853b6d16cd97a3a01d38e6c3d4c78
parent5ee9349647cd2a77befb47c8c338a2afe9c2d0a8
parentf69daf4830b2c101cc0380a18bf5c86692888f12
Merge branch 'const-func-block-var' of https://github.com/zachjs/yosys into zachjs-const-func-block-var

Signed-off-by: Claire Wolf <claire@symbioticeda.com>
frontends/ast/simplify.cc