sv: auto add nosync to certain always_comb local vars
authorZachary Snow <zach@zachjs.com>
Fri, 7 Jan 2022 05:04:00 +0000 (22:04 -0700)
committerZachary Snow <zachary.j.snow@gmail.com>
Sat, 8 Jan 2022 05:53:22 +0000 (22:53 -0700)
commitaa35f24290b0d7339860c8c8a6145703425fa154
treeafee581c29c4c6e483138c7693e97e88afad167e
parent828e85068f8dd52a508e4cbb84deea0e621aa038
sv: auto add nosync to certain always_comb local vars

If a local variable is always assigned before it is used, then adding
nosync prevents latches from being needlessly generated.
CHANGELOG
frontends/ast/simplify.cc
tests/verilog/always_comb_latch_1.ys [new file with mode: 0644]
tests/verilog/always_comb_latch_2.ys [new file with mode: 0644]
tests/verilog/always_comb_latch_3.ys [new file with mode: 0644]
tests/verilog/always_comb_latch_4.ys [new file with mode: 0644]
tests/verilog/always_comb_nolatch_1.ys [new file with mode: 0644]
tests/verilog/always_comb_nolatch_2.ys [new file with mode: 0644]
tests/verilog/always_comb_nolatch_3.ys [new file with mode: 0644]
tests/verilog/always_comb_nolatch_4.ys [new file with mode: 0644]