orangecrab: use litesdcard
authorMatt Johnston <matt@codeconstruct.com.au>
Fri, 14 Jan 2022 00:04:18 +0000 (08:04 +0800)
committerMatt Johnston <matt@codeconstruct.com.au>
Mon, 17 Jan 2022 04:55:14 +0000 (12:55 +0800)
commitabc6a4f3726c171dec7029bcc13fd3a20c7c46c2
treef20a83ee06680a1a8187071f1fadb1b66b00c943
parent42959184ddc0096a1a1e2ea9685fb424efcbde2f
orangecrab: use litesdcard

Currently not working (tested in Linux)

Signed-off-by: Matt Johnston <matt@codeconstruct.com.au>
Makefile
fpga/top-orangecrab0.2.vhdl