vendor.xilinx_{7series,spartan3_6}: specialize MultiReg.
authorDarrell Harmon <dlharmon@users.noreply.github.com>
Fri, 20 Sep 2019 15:13:27 +0000 (09:13 -0600)
committerwhitequark <whitequark@whitequark.org>
Fri, 20 Sep 2019 15:13:27 +0000 (15:13 +0000)
commitaf7224de5dba324a06cce51e9fa9f5503f9e8e9e
tree675a04b8fc319b1079de6553624427e33abcbf99
parentf2550021c38e20f2f382b75765f03f063884aa1f
vendor.xilinx_{7series,spartan3_6}: specialize MultiReg.

Vivado/ISE would otherwise infer an SRL16 from a MultiReg in some cases.
nmigen/vendor/xilinx_7series.py
nmigen/vendor/xilinx_spartan_3_6.py