Basic UART test working
authorAndrey Miroshnikov <andrey@technepisteme.xyz>
Mon, 6 Dec 2021 10:23:32 +0000 (10:23 +0000)
committerAndrey Miroshnikov <andrey@technepisteme.xyz>
Mon, 6 Dec 2021 10:23:32 +0000 (10:23 +0000)
commitb494b5eb2a6d2afc8badd1546d031735ba609f98
treece650282b57f6dbb243acb74f7cca68e22d31df6
parentf685c2ea0456fed970336d3d20ec553b2fc6cc23
Basic UART test working
src/spec/testing_stage1.py