wishbone_bram_wrapper ram_addr_bits is 1 bit off
authorAnton Blanchard <anton@linux.ibm.com>
Thu, 17 Mar 2022 07:03:29 +0000 (18:03 +1100)
committerAnton Blanchard <anton@ozlabs.org>
Thu, 17 Mar 2022 07:10:36 +0000 (18:10 +1100)
commitb5accb78b27c7cd8bfa4ec6dedf5d5c8069f8e5c
tree05b6980425bb4b0eda04298382c43864677283d5
parent30fd936c128c83391ad358d0aae8e958bd124399
wishbone_bram_wrapper ram_addr_bits is 1 bit off

log2ceil() returns the number of bits required to store a value, so we
need to pass in memory_size-1, not memory_size.

Every other user of log2ceil() gets this right.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
wishbone_bram_wrapper.vhdl