dcache: Update TLB PLRU one cycle later
authorPaul Mackerras <paulus@ozlabs.org>
Fri, 10 Jul 2020 10:32:35 +0000 (20:32 +1000)
committerPaul Mackerras <paulus@ozlabs.org>
Tue, 14 Jul 2020 23:45:30 +0000 (09:45 +1000)
commitc01e1c7b91068e04751b19b8b22e4c452c94080b
tree63f2ced77c101a339c456cbaa6349c2be9ab5bab
parentb2ba024a4885f026200bdfb6ef804ed0f9dd72ca
dcache: Update TLB PLRU one cycle later

This puts the inputs to the TLB PLRU through a register stage, so
the TLB PLRU update is done in the cycle after the TLB tag
matching rather than the same cycle.  This improves timing.
The PLRU output is only used when writing the TLB in response to
a tlbwe request from the MMU, and that doesn't happen within one
cycle of a virtual-mode load or store, so the fact that the
tlb victim way information is delayed by one cycle doesn't
create any problems.

Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
dcache.vhdl