Blackbox all whiteboxes after synthesis
authorgatecat <gatecat@ds0.me>
Wed, 17 Mar 2021 12:16:53 +0000 (12:16 +0000)
committergatecat <gatecat@ds0.me>
Wed, 17 Mar 2021 21:07:20 +0000 (21:07 +0000)
commitcae905f55128a77e8d2eecc75d861292d79baa79
tree2ca1515c0c57c2adbc593ad018a861ba3cfc4687
parentc8b45a4a826efe88e17928b3d6f526dc2866312a
Blackbox all whiteboxes after synthesis

This prevents issues like processes in whiteboxes triggering an error in
the JSON backend.

Signed-off-by: gatecat <gatecat@ds0.me>
16 files changed:
techlibs/achronix/synth_achronix.cc
techlibs/anlogic/synth_anlogic.cc
techlibs/coolrunner2/synth_coolrunner2.cc
techlibs/easic/synth_easic.cc
techlibs/ecp5/synth_ecp5.cc
techlibs/efinix/synth_efinix.cc
techlibs/gowin/synth_gowin.cc
techlibs/greenpak4/synth_greenpak4.cc
techlibs/ice40/synth_ice40.cc
techlibs/intel/synth_intel.cc
techlibs/intel_alm/synth_intel_alm.cc
techlibs/machxo2/synth_machxo2.cc
techlibs/nexus/synth_nexus.cc
techlibs/sf2/synth_sf2.cc
techlibs/xilinx/synth_xilinx.cc
tests/arch/ecp5/mux.ys