verilog: fix #2037 by permitting (and freeing) attributes on null stmt
authorEddie Hung <eddie@fpgeh.com>
Mon, 11 May 2020 16:33:19 +0000 (09:33 -0700)
committerEddie Hung <eddie@fpgeh.com>
Mon, 25 May 2020 14:36:53 +0000 (07:36 -0700)
commitd21a07c7b5ef57de5428e5f7913338af582146b5
treea37819fc58c3c44b7ca9fe2ad212e300c5f1b2a4
parent589775538c23975d79aa21050557a37b76acb1dd
verilog: fix #2037 by permitting (and freeing) attributes on null stmt
frontends/verilog/verilog_parser.y