Make the raw opcode input port of the decoder stay stable
authorCesar Strauss <cestrauss@gmail.com>
Sat, 6 Mar 2021 16:46:50 +0000 (13:46 -0300)
committerCesar Strauss <cestrauss@gmail.com>
Sat, 6 Mar 2021 16:49:34 +0000 (13:49 -0300)
commite09a02c286ee629f01e094c2bff9ce53f20160b8
treed32450e6f962c06ce5c2677ed774081c75eecfff
parent7912f688788e57ce52eb0357f5b33b19dc11e60c
Make the raw opcode input port of the decoder stay stable

During a Simple-V loop, the decoder will be reused repeatedly, so its
raw opcode input needs to hold stable. An alternate way would be to
pass the raw opcode and the SVP64 RM field to the issue FSM, so it could
supply these decoder inputs when needed.
src/soc/simple/issuer.py