Use RoundRobin implementation from nMigen
authorJean THOMAS <git0@pub.jeanthomas.me>
Wed, 29 Jul 2020 09:28:33 +0000 (11:28 +0200)
committerJean THOMAS <git0@pub.jeanthomas.me>
Wed, 29 Jul 2020 09:28:33 +0000 (11:28 +0200)
commitf6ab3999536f606aaebb7e8c29c833ab4b333357
treef1f011a50e5789f27fd5ee390e35c67aa0f800ab
parentee8ff5d06e36006f6b375aedb5d9069b097c0eac
Use RoundRobin implementation from nMigen
gram/compat.py
gram/core/crossbar.py
gram/core/multiplexer.py
gram/test/test_compat.py