bring ulx3s frequency down to 12.5 mhz ulx3s
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 12 Feb 2022 15:55:27 +0000 (15:55 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 12 Feb 2022 15:55:27 +0000 (15:55 +0000)
commitf958b0a0f3ca1f6da127a2f3bc02d57a1882354a
tree8c67ec35bc25fb318ba8f8f8733a16529b4d120c
parent0f85e1dc8a006fdac013e4097c0d3bd9d9d65372
bring ulx3s frequency down to 12.5 mhz
Makefile