tests: add an generate-else test too
authorEddie Hung <eddie@fpgeh.com>
Mon, 11 May 2020 17:26:08 +0000 (10:26 -0700)
committerEddie Hung <eddie@fpgeh.com>
Mon, 25 May 2020 14:36:53 +0000 (07:36 -0700)
tests/verilog/bug2037.ys

index afe92022e2b05eb428bbf3165cab5e79a3e317bd..42c4b8f5d076575142af7fdb4a13c41b4153da07 100644 (file)
@@ -7,3 +7,37 @@ module test ();
                if (y) (* foo *) ;
 endmodule
 EOT
+
+
+design -reset
+logger -expect warning "Attribute\(s\) attached to null statement\. Ignoring\." 3 # cumulative
+logger -expect-no-warnings
+read_verilog <<EOT
+module test ();
+       localparam y = 1;
+       always @(*)
+               if (y) (* foo *) ; else (* bar *) ;
+endmodule
+EOT
+
+
+design -reset
+logger -expect warning "Attribute\(s\) attached to null statement\. Ignoring\." 4 # cumulative
+logger -expect-no-warnings
+read_verilog <<EOT
+module test ();
+       localparam y = 1;
+    generate if (y) (* foo *) ; endgenerate
+endmodule
+EOT
+
+
+design -reset
+logger -expect warning "Attribute\(s\) attached to null statement\. Ignoring\." 6 # cumulative
+logger -expect-no-warnings
+read_verilog <<EOT
+module test ();
+       localparam y = 1;
+    generate if (y) (* foo *) ; else (* bar *); endgenerate
+endmodule
+EOT