Fix for modules with big interfaces.
authorKaj Tuomi <kaj.tuomi@siru.fi>
Tue, 13 Sep 2016 10:13:27 +0000 (13:13 +0300)
committerKaj Tuomi <kaj.tuomi@siru.fi>
Tue, 13 Sep 2016 10:13:27 +0000 (13:13 +0300)
tests/tools/cmp_tbdata.c

index b81ae1cabf51b21d5d244db6db94a01cf80514d7..c0b12cd9b1d4c901e2db12e55f149eabf050a800 100644 (file)
@@ -4,8 +4,8 @@
 #include <string.h>
 
 int line = 0;
-char buffer1[1024];
-char buffer2[1024];
+char buffer1[8192];
+char buffer2[8192];
 
 void check(bool ok)
 {