Fixed bug in examples/quickstart/demo.sv
authorClifford Wolf <clifford@clifford.at>
Thu, 26 Jul 2018 12:19:04 +0000 (14:19 +0200)
committerClifford Wolf <clifford@clifford.at>
Thu, 26 Jul 2018 12:19:04 +0000 (14:19 +0200)
Signed-off-by: Clifford Wolf <clifford@clifford.at>
docs/examples/quickstart/demo.sv

index 0184df43fc0e34dd99ca1b6aa73b0efeaf182d48..e5e742f5df03c492908e1b2fcc0c57833f6f1e2c 100644 (file)
@@ -5,7 +5,7 @@ module demo (
   reg [5:0] counter = 0;
 
   always @(posedge clk) begin
-    if (counter == 50)
+    if (counter == 15)
       counter <= 0;
     else
       counter <= counter + 1;