simplify imports, migen.fhdl.std -> migen
authorSebastien Bourdeauducq <sb@m-labs.hk>
Sat, 12 Sep 2015 11:34:07 +0000 (19:34 +0800)
committerSebastien Bourdeauducq <sb@m-labs.hk>
Sat, 12 Sep 2015 11:34:07 +0000 (19:34 +0800)
52 files changed:
README.md
doc/fhdl.rst
examples/basic/arrays.py
examples/basic/fsm.py
examples/basic/graycounter.py
examples/basic/instance.py
examples/basic/local_cd.py
examples/basic/memory.py
examples/basic/namer.py
examples/basic/psync.py
examples/basic/record.py
examples/basic/reslice.py
examples/basic/tristate.py
examples/basic/two_dividers.py
examples/sim/basic1.py
examples/sim/basic2.py
examples/sim/fir.py
examples/sim/memory.py
migen/__init__.py
migen/build/altera/common.py
migen/build/generic_platform.py
migen/build/lattice/common.py
migen/build/sim/verilator.py
migen/build/xilinx/common.py
migen/build/xilinx/ise.py
migen/build/xilinx/vivado.py
migen/fhdl/bitcontainer.py
migen/fhdl/decorators.py
migen/fhdl/edif.py
migen/fhdl/module.py
migen/fhdl/simplify.py
migen/fhdl/specials.py
migen/fhdl/std.py [deleted file]
migen/genlib/cdc.py
migen/genlib/coding.py
migen/genlib/divider.py
migen/genlib/fifo.py
migen/genlib/fsm.py
migen/genlib/io.py
migen/genlib/misc.py
migen/genlib/record.py
migen/genlib/resetsync.py
migen/genlib/roundrobin.py
migen/genlib/sort.py
migen/sim.py
migen/test/support.py
migen/test/test_coding.py
migen/test/test_fifo.py
migen/test/test_signed.py
migen/test/test_size.py
migen/test/test_sort.py
migen/test/test_syntax.py

index e90a59f349d8ff30b3b5a7ba05ef11ff95dbb0d6..39f8e96a5412c3bfa86c20703560deca2f38c0ad 100644 (file)
--- a/README.md
+++ b/README.md
@@ -47,7 +47,7 @@ http://m-labs.hk/gateware.html
 #### Quick intro
 
 ```python
-from migen.fhdl.std import *
+from migen import *
 from migen.build.platforms import m1
 plat = m1.Platform()
 led = plat.request("user_led")
index 9eec89dfe00421a04b1fdcebab15b0a33d9d23cc..85e78842c18637fef7c2915071359f0cb73c74ce 100644 (file)
@@ -8,7 +8,7 @@ FHDL differs from MyHDL [myhdl]_ in fundamental ways. MyHDL follows the event-dr
 
 .. [myhdl] http://www.myhdl.org
 
-FHDL is made of several elements, which are briefly explained below. They all can be imported from the ``migen.fhdl.std`` module.
+FHDL is made of several elements, which are briefly explained below. They all can be imported directly from the ``migen`` module.
 
 Expressions
 ***********
index 5141d8ecd8d04e9b4a8faae5ccf3e8bbbda1b01b..0753107cb66edeafb1c5ce0b01c720dc884b486a 100644 (file)
@@ -1,4 +1,4 @@
-from migen.fhdl.std import *
+from migen import *
 from migen.fhdl import verilog
 
 
@@ -24,4 +24,5 @@ class Example(Module):
         outa = Array(Signal() for a in range(dy))
         self.specials += Instance("test", o_O=outa[y], i_I=ina[x])
 
-print(verilog.convert(Example()))
+if __name__ == "__main__":
+    print(verilog.convert(Example()))
index 4c2c87926fd7f5d624bbc50c4ba639ea66fdc069..dff6f4d533aeaa2049aed2462fe5c62c656d2794 100644 (file)
@@ -1,7 +1,5 @@
-from migen.fhdl.std import *
+from migen import *
 from migen.fhdl import verilog
-from migen.genlib.fsm import FSM, NextState, NextValue
-
 
 class Example(Module):
     def __init__(self):
@@ -26,5 +24,6 @@ class Example(Module):
         self.bl = myfsm.before_leaving("FOO")
         self.al = myfsm.after_leaving("FOO")
 
-example = Example()
-print(verilog.convert(example, {example.s, example.counter, example.be, example.ae, example.bl, example.al}))
+if __name__ == "__main__":
+    example = Example()
+    print(verilog.convert(example, {example.s, example.counter, example.be, example.ae, example.bl, example.al}))
index d361635048334eca7b6e9e81322e216dc6294d46..bedd466588164db9fa36a4b1c433606e98426378 100644 (file)
@@ -1,8 +1,7 @@
 from random import Random
 
-from migen.fhdl.std import *
+from migen import *
 from migen.genlib.cdc import GrayCounter
-from migen.sim import Simulator
 
 
 def tb(dut):
index e29420e8a79819d7a5a90d644085220db8fc2a07..fcabecc14d385a958d20e95155d369d58764fa9d 100644 (file)
@@ -1,7 +1,6 @@
 import subprocess
 
-from migen.fhdl.std import *
-from migen.fhdl.specials import Instance
+from migen import *
 from migen.fhdl.verilog import convert
 
 
index 3fddcec5815534996d3b2c9e07e44153243b432b..dc0550601140f421d51cb9a9281ce5d161f43494 100644 (file)
@@ -1,4 +1,4 @@
-from migen.fhdl.std import *
+from migen import *
 from migen.fhdl import verilog
 from migen.genlib.divider import Divider
 
@@ -14,5 +14,6 @@ class MultiMod(Module):
         self.submodules.foo = CDM()
         self.submodules.bar = CDM()
 
-mm = MultiMod()
-print(verilog.convert(mm, {mm.foo.cd_sys.clk, mm.bar.cd_sys.clk}))
+if __name__ == "__main__":
+    mm = MultiMod()
+    print(verilog.convert(mm, {mm.foo.cd_sys.clk, mm.bar.cd_sys.clk}))
index e20b4a9f8eaca94b8fb8c06c4e5dcf500bda750e..6b9c1f2c7007913533f853864b848b161d52203e 100644 (file)
@@ -1,4 +1,4 @@
-from migen.fhdl.std import *
+from migen import *
 from migen.fhdl import verilog
 
 
@@ -11,5 +11,7 @@ class Example(Module):
         self.ios = {p1.adr, p1.dat_r, p1.we, p1.dat_w,
             p2.adr, p2.dat_r, p2.re}
 
-example = Example()
-print(verilog.convert(example, example.ios))
+
+if __name__ == "__main__":
+    example = Example()
+    print(verilog.convert(example, example.ios))
index 670de37e20b2564b3d8289145c6a3cbaf3bcf7ce..0c30c8147e984294f3aaade766fb87f9d867ed31 100644 (file)
@@ -1,4 +1,4 @@
-from migen.fhdl.std import *
+from migen import *
 from migen.fhdl import verilog
 
 from functools import reduce
index 64f704f823429e195699e4d3b8633346c698edd3..034ca69fc843cef3ffed8b569afffdcdee68e4f2 100644 (file)
@@ -1,4 +1,4 @@
-from migen.fhdl.std import *
+from migen import *
 from migen.fhdl.specials import SynthesisDirective
 from migen.fhdl import verilog
 from migen.genlib.cdc import *
@@ -16,6 +16,8 @@ class XilinxMultiReg:
     def lower(dr):
         return XilinxMultiRegImpl(dr.i, dr.o, dr.odomain, dr.n)
 
-ps = PulseSynchronizer("from", "to")
-v = verilog.convert(ps, {ps.i, ps.o}, special_overrides={MultiReg: XilinxMultiReg})
-print(v)
+
+if __name__ == "__main__":
+    ps = PulseSynchronizer("from", "to")
+    v = verilog.convert(ps, {ps.i, ps.o}, special_overrides={MultiReg: XilinxMultiReg})
+    print(v)
index 7b31a18c0eaaf67a1584330fdab1963c307a5dff..59e72774b9b357c0b375d52d974747a69788d2cb 100644 (file)
@@ -1,6 +1,6 @@
-from migen.fhdl.std import *
+from migen import *
 from migen.fhdl import verilog
-from migen.genlib.record import *
+
 
 L = [
     ("position", [
@@ -19,6 +19,8 @@ class Test(Module):
         slave = Record(L)
         self.comb += master.connect(slave)
 
-print(verilog.convert(Test()))
-print(layout_len(L))
-print(layout_partial(L, "position/x", "color"))
+
+if __name__ == "__main__":
+    print(verilog.convert(Test()))
+    print(layout_len(L))
+    print(layout_partial(L, "position/x", "color"))
index a86f75af013b437b7dfa5f5fb19ee9830bbc499a..c5fb502a5a0b564f4f5a6ada3b9ea78d3cdd1666 100644 (file)
@@ -1,4 +1,4 @@
-from migen.fhdl.std import *
+from migen import *
 from migen.fhdl import verilog
 
 
@@ -14,4 +14,6 @@ class Example(Module):
         self.comb += s3.eq(0)
         self.comb += d.eq(Cat(d[::-1], Cat(s1[:1], s3[-4:])[:3]))
 
-print(verilog.convert(Example()))
+
+if __name__ == "__main__":
+    print(verilog.convert(Example()))
index 9359aaa066a704d8c69a802b974b90a69e3aa5f4..51afa033dc811336570ca521be9393a8c2eafbbf 100644 (file)
@@ -1,4 +1,4 @@
-from migen.fhdl.std import *
+from migen import *
 from migen.fhdl import verilog
 
 
@@ -8,5 +8,6 @@ class Example(Module):
         self.t = TSTriple(n)
         self.specials += self.t.get_tristate(self.pad)
 
-e = Example()
-print(verilog.convert(e, ios={e.pad, e.t.o, e.t.oe, e.t.i}))
+if __name__ == "__main__":
+    e = Example()
+    print(verilog.convert(e, ios={e.pad, e.t.o, e.t.oe, e.t.i}))
index 5e70cfbe31875afed3c0ac6c96c742b8b2c5ec88..6869d548eade783dbc3b0b604e4ad9177ff826af 100644 (file)
@@ -1,4 +1,4 @@
-from migen.fhdl.std import *
+from migen import *
 from migen.fhdl import verilog
 from migen.genlib import divider
 
@@ -14,5 +14,6 @@ class Example(Module):
             d1.ready_o, d1.quotient_o, d1.remainder_o, d1.start_i, d1.dividend_i, d1.divisor_i,
             d2.ready_o, d2.quotient_o, d2.remainder_o, d2.start_i, d2.dividend_i, d2.divisor_i}
 
-example = Example(16)
-print(verilog.convert(example, example.ios | {example.ce, example.reset}))
+if __name__ == "__main__":
+    example = Example(16)
+    print(verilog.convert(example, example.ios | {example.ce, example.reset}))
index 9da2f460b38826f14999bc4d381581dc2c8c2dd3..e9426fce5419b6bac89063c8d98ea4dede3a388d 100644 (file)
@@ -1,5 +1,4 @@
-from migen.fhdl.std import *
-from migen.sim import Simulator
+from migen import *
 
 
 # Our simple counter, which increments at every cycle.
index 2b6eabe5c6f2451f41ba9286ac789b3664ff6aa8..524f4435b720e885c444cea1d24a6a743e5a781c 100644 (file)
@@ -1,5 +1,4 @@
-from migen.fhdl.std import *
-from migen.sim import Simulator
+from migen import *
 
 
 # A slightly more elaborate counter.
index ffc6b78a7a69b7196e3b8b4d8261ed509f16a3de..c9adcb2cde6971ea1a21a4a9b59e77346fcc6f31 100644 (file)
@@ -1,13 +1,13 @@
+from functools import reduce
+from operator import add
+
 from math import cos, pi
 from scipy import signal
 import matplotlib.pyplot as plt
 
-from migen.fhdl.std import *
+from migen import *
 from migen.fhdl import verilog
-from migen.sim import Simulator
 
-from functools import reduce
-from operator import add
 
 # A synthesizable FIR filter.
 class FIR(Module):
index db9bde5713026a72a0310fc29a95c75bff8d5cc5..7bd3d5fb04e39d65fb0f864c22d75988ccdee000 100644 (file)
@@ -1,5 +1,4 @@
-from migen.fhdl.std import *
-from migen.sim.generic import run_simulation
+from migen import *
 
 
 class Mem(Module):
index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..492117f22796aed383080123e60a6ed236aaec72 100644 (file)
@@ -0,0 +1,10 @@
+from migen.fhdl.structure import *
+from migen.fhdl.module import *
+from migen.fhdl.specials import *
+from migen.fhdl.bitcontainer import *
+from migen.fhdl.decorators import *
+
+from migen.sim import *
+
+from migen.genlib.record import *
+from migen.genlib.fsm import *
index 56e206557bbbc203a1d248b78d1ff6436c1e0bf0..554461da7bd85afbcefcdd50acafe6fe8d804e44 100644 (file)
@@ -1,4 +1,5 @@
-from migen.fhdl.std import Instance, Module
+from migen.fhdl.module import Module
+from migen.fhdl.specials import Instance
 from migen.genlib.io import DifferentialInput, DifferentialOutput
 
 
index ff8a51e3492b2324c8da160372912eb7d777a1e3..e6537184c5424432e4acfd02af0393ea34529140 100644 (file)
@@ -1,12 +1,11 @@
 import os
 import sys
 
-from migen.fhdl.std import Signal
+from migen.fhdl.structure import Signal
 from migen.genlib.record import Record
 from migen.genlib.io import CRG
 from migen.fhdl import verilog, edif
 from migen.util.misc import autotype
-
 from migen.build import tools
 
 
index 090d3fc0be4d7f240c07c318e32b191d0299bc22..c25e8b90304c9dad6d594e93f13e8dac8bc84d46 100644 (file)
@@ -1,6 +1,6 @@
-from migen.fhdl.std import *
+from migen.fhdl.module import Module
+from migen.fhdl.specials import Instance
 from migen.genlib.io import *
-
 from migen.genlib.resetsync import AsyncResetSynchronizer
 
 
@@ -36,6 +36,6 @@ class LatticeDDROutput:
         return LatticeDDROutputImpl(dr.i1, dr.i2, dr.o, dr.clk)
 
 lattice_special_overrides = {
-    AsyncResetSynchronizer:    LatticeAsyncResetSynchronizer,
-    DDROutput:    LatticeDDROutput
+    AsyncResetSynchronizer: LatticeAsyncResetSynchronizer,
+    DDROutput: LatticeDDROutput
 }
index fd1d8be9d5bde783018bc43255282503e6a9b04c..4e63552888523432be6df990490b2c532771f3ae 100644 (file)
@@ -4,18 +4,14 @@
 import os
 import subprocess
 
-from migen.fhdl.std import *
 from migen.fhdl.structure import _Fragment
-
 from migen.build import tools
 from migen.build.generic_platform import *
-from migen.build.sim import common
 
 
 def _build_tb(platform, vns, serial, template):
-
-    def io_name(ressource, subsignal=None):
-        res = platform.lookup_request(ressource)
+    def io_name(resource, subsignal=None):
+        res = platform.lookup_request(resource)
         if subsignal is not None:
             res = getattr(res, subsignal)
         return vns.get_name(res)
index 7c753ab69c97b67276d66fc8031ec7ffd5f1675e..52f8ca9705c699b75933f8bbae3b0bb54347ab93 100644 (file)
@@ -2,7 +2,9 @@ import os
 import sys
 from distutils.version import StrictVersion
 
-from migen.fhdl.std import *
+from migen.fhdl.structure import *
+from migen.fhdl.specials import Instance
+from migen.fhdl.module import Module
 from migen.fhdl.specials import SynthesisDirective
 from migen.genlib.cdc import *
 from migen.genlib.resetsync import AsyncResetSynchronizer
index 65126a108e9f5f85962cb681009f747701e11c37..0d0709cdacf4237c7e097a2ffa217588b94cfddb 100644 (file)
@@ -2,7 +2,6 @@ import os
 import subprocess
 import sys
 
-from migen.fhdl.std import *
 from migen.fhdl.structure import _Fragment
 from migen.build.generic_platform import *
 from migen.build import tools
index 8d85885f4478464d1b57f5a5d96563e9e6a01ba2..da2bd7105b65d6d7bc94a0cedf0e534ced14aaba 100644 (file)
@@ -5,9 +5,7 @@ import os
 import subprocess
 import sys
 
-from migen.fhdl.std import *
 from migen.fhdl.structure import _Fragment
-
 from migen.build.generic_platform import *
 from migen.build import tools
 from migen.build.xilinx import common
index 9763845f126138ce4843523216a2a7e2cff9c16f..7eded12f960bf8416c848c262c321fc309e7ae9c 100644 (file)
@@ -1,6 +1,9 @@
 from migen.fhdl import structure as f
 
 
+__all__ = ["log2_int", "bits_for", "flen", "fiter", "fslice", "freversed"]
+
+
 def log2_int(n, need_pow2=True):
     l = 1
     r = 0
index 7a1f82115cd0ab4cdbf4aca72322fbfe14e66226..00ea2d224e93c5a5c249a41aeb6590528fa0653b 100644 (file)
@@ -5,6 +5,12 @@ from migen.fhdl.module import Module
 from migen.fhdl.tools import insert_reset, rename_clock_domain
 
 
+__all__ = ["DecorateModule",
+           "InsertCE", "InsertReset", "RenameClockDomains",
+           "CEInserter", "ResetInserter", "ClockDomainsRenamer",
+           "ModuleTransformer"]
+
+
 class ModuleTransformer:
     # overload this in derived classes
     def transform_instance(self, i):
index 32c3cf9f5d5a5c03f5da3ce5f9adafef769685df..f6d7deb0e79a8d50a0a4ba0102c5e53a696da9fe 100644 (file)
@@ -1,7 +1,6 @@
-from collections import OrderedDict
-from collections import namedtuple
+from collections import OrderedDict, namedtuple
 
-from migen.fhdl.std import *
+from migen.fhdl.structure import *
 from migen.fhdl.namer import build_namespace
 from migen.fhdl.tools import list_special_ios
 from migen.fhdl.structure import _Fragment
index 3c9d558a831f53dc35f4e576143cf1d1611ccc93..7a2099335a37a62b3ad7d21e8df179b39073d545 100644 (file)
@@ -7,6 +7,9 @@ from migen.fhdl.structure import _Fragment
 from migen.fhdl.tools import rename_clock_domain
 
 
+__all__ = ["Module", "FinalizeError"]
+
+
 class FinalizeError(Exception):
     pass
 
index 66e4e58bfd3cf59f542f4c01092111e737b75139..b1afb0d5acf607ed2bde7905bd32b9e523297303 100644 (file)
@@ -1,4 +1,4 @@
-from migen.fhdl.std import *
+from migen.fhdl.structure import *
 from migen.fhdl.specials import _MemoryPort
 from migen.fhdl.decorators import ModuleTransformer
 from migen.util.misc import gcd_multiple
index cb176a8bccb1161f806d660a7d78bd1e6994437c..e488bb47c8d6824cad043c9a9506bf7c2fc28966 100644 (file)
@@ -7,6 +7,10 @@ from migen.fhdl.tracer import get_obj_var_name
 from migen.fhdl.verilog import _printexpr as verilog_printexpr
 
 
+__all__ = ["TSTriple", "Instance", "Memory",
+    "READ_FIRST", "WRITE_FIRST", "NO_CHANGE"]
+
+
 class Special(HUID):
     def iter_expressions(self):
         for x in []:
@@ -171,6 +175,7 @@ class Instance(Special):
             r += ");\n\n"
         return r
 
+
 (READ_FIRST, WRITE_FIRST, NO_CHANGE) = range(3)
 
 
@@ -319,7 +324,7 @@ class Memory(Special):
             memory_filename = add_data_file(gn(memory) + ".init", content)
 
             r += "initial begin\n"
-            r += "$readmemh(\"" + memory_filename + "\", " + gn(memory) + ");\n"
+            r += "\t$readmemh(\"" + memory_filename + "\", " + gn(memory) + ");\n"
             r += "end\n\n"
 
 
diff --git a/migen/fhdl/std.py b/migen/fhdl/std.py
deleted file mode 100644 (file)
index 76b1b37..0000000
+++ /dev/null
@@ -1,7 +0,0 @@
-from migen.fhdl.structure import *
-from migen.fhdl.module import Module, FinalizeError
-from migen.fhdl.specials import TSTriple, Instance, Memory
-from migen.fhdl.bitcontainer import log2_int, bits_for, flen, fiter, fslice, freversed
-from migen.fhdl.decorators import DecorateModule, InsertCE, InsertReset, RenameClockDomains
-from migen.fhdl.decorators import (CEInserter, ResetInserter,
-    ClockDomainsRenamer, ModuleTransformer)
index caa0ec4bbe0a49eb02abf4069f46d913329ca54b..860a504f435e97f0892a6f2c9cbd6e238233dfe7 100644 (file)
@@ -1,7 +1,7 @@
-from migen.fhdl.std import *
-from migen.fhdl.bitcontainer import value_bits_sign
+from migen.fhdl.structure import *
+from migen.fhdl.module import Module
 from migen.fhdl.specials import Special
-from migen.fhdl.tools import list_signals
+from migen.fhdl.bitcontainer import value_bits_sign
 
 
 class NoRetiming(Special):
index 5d1231e99083f27b67f995c2937b5e09477fa584..6327b388145f240926fb7081e3d75b26b73f5dc5 100644 (file)
@@ -1,9 +1,10 @@
-from migen.fhdl.std import *
-
 """
 Encoders and decoders between binary and one-hot representation
 """
 
+from migen.fhdl.structure import *
+from migen.fhdl.module import Module
+
 
 class Encoder(Module):
     """Encode one-hot to binary
index cb1426cf86c787da9560a725a6c0e421989b7500..f31c7407b20bfe5d22f9b4b65ebbb67a650270d7 100644 (file)
@@ -1,4 +1,5 @@
-from migen.fhdl.std import *
+from migen.fhdl.structure import *
+from migen.fhdl.module import Module
 
 
 class Divider(Module):
index 06b2359c722aa8f3b9116e8bc20c685881a66498..33c984b8bc96aaeefaea36d67acae2d9ad6424cf 100644 (file)
@@ -1,4 +1,6 @@
-from migen.fhdl.std import *
+from migen.fhdl.structure import *
+from migen.fhdl.module import Module
+from migen.fhdl.specials import Memory
 from migen.genlib.cdc import NoRetiming, MultiReg, GrayCounter
 from migen.genlib.record import layout_len, Record
 
index 7a2ee619026176d8abdf29838b1e2f435bedfebd..52451145bcaa840a131be315431ecbf3d9f4b94b 100644 (file)
@@ -1,11 +1,14 @@
 from collections import OrderedDict
 
-from migen.fhdl.std import *
-from migen.fhdl.module import FinalizeError
+from migen.fhdl.structure import *
+from migen.fhdl.module import Module, FinalizeError
 from migen.fhdl.visit import NodeTransformer
 from migen.fhdl.bitcontainer import value_bits_sign
 
 
+__all__ = ["AnonymousState", "NextState", "NextValue", "FSM"]
+
+
 class AnonymousState:
     pass
 
index a26a1d9901a6de4a897c9c2e29f89fd21423df76..db1cfdef0d96a78b73adad60bc03008bdeef20fa 100644 (file)
@@ -1,6 +1,6 @@
-from migen.fhdl.std import *
+from migen.fhdl.structure import *
+from migen.fhdl.module import Module
 from migen.fhdl.specials import Special
-from migen.fhdl.tools import list_signals
 
 
 class DifferentialInput(Special):
index aa610da3ddeddee70dcd22b057b8e3bc988da5af..effdd16eafcf82267dc340e835f13b3c1f5d9eb8 100644 (file)
@@ -1,4 +1,5 @@
-from migen.fhdl.std import *
+from migen.fhdl.structure import *
+from migen.fhdl.module import Module
 
 
 def split(v, *counts):
index 238c9140d6f3dfb4b1929ed154d52a229424c836..bf6aa6197999365b595adaf912690240fc8f36c9 100644 (file)
@@ -1,4 +1,4 @@
-from migen.fhdl.std import *
+from migen.fhdl.structure import *
 from migen.fhdl.tracer import get_obj_var_name
 
 from functools import reduce
index 2f635771fb23a448a3287587e9e99f459fa8864c..3d46565c5182a5d83546e9faca25f22ccb71fa76 100644 (file)
@@ -1,6 +1,5 @@
-from migen.fhdl.std import *
+from migen.fhdl.structure import *
 from migen.fhdl.specials import Special
-from migen.fhdl.tools import list_signals
 
 
 class AsyncResetSynchronizer(Special):
index 5dd56759d8f444cfa224fc5c0f3d4b27a8afed5a..87ac5bb6fe12773191d3c1a9622e5123aa999976 100644 (file)
@@ -1,4 +1,6 @@
-from migen.fhdl.std import *
+from migen.fhdl.structure import *
+from migen.fhdl.module import Module
+
 
 (SP_WITHDRAW, SP_CE) = range(2)
 
index 9292c6d72fdc9511d1471e1db1623be2c389c34d..8f38e6292befcff3c8ef9e77144e3d0f59d6df63 100644 (file)
@@ -1,4 +1,5 @@
-from migen.fhdl.std import *
+from migen.fhdl.structure import *
+from migen.fhdl.module import Module
 
 
 class BitonicSort(Module):
index 96ca3476833272c5fae47943aa053d67610dd0ed..8fda14ded04250637a8aa9a9c89aaef387f7aaee 100644 (file)
@@ -1,11 +1,14 @@
 import operator
 from collections import defaultdict
 
-from migen.fhdl.std import *
+from migen.fhdl.structure import *
 from migen.fhdl.structure import _Operator, _Assign, _Fragment
 from migen.fhdl.tools import list_inputs
 
 
+__all__ = ["Simulator"]
+
+
 class ClockState:
     def __init__(self, period, times_before_tick):
         self.period = period
index 8982079aed3782900537a76e8eca5cfb1b9ae9b6..66c141bf2cf43e9aae6759a0e0b9c18ce36b0237 100644 (file)
@@ -1,5 +1,4 @@
-from migen.fhdl.std import *
-from migen.sim import Simulator
+from migen import *
 from migen.fhdl import verilog
 
 
index d5d9127287d28d3eba3328c4a33499aac3c0df19..4cbca1788c6fe8aa7fe322329a06def904c16820 100644 (file)
@@ -1,6 +1,6 @@
 import unittest
 
-from migen.fhdl.std import *
+from migen import *
 from migen.genlib.coding import *
 
 from migen.test.support import SimCase, SimBench
index b798a6ae86904056fecd60a896257cf67a59f456..f0c1b105c4f5c0b6574b5d1ecf174920c2bf4521 100644 (file)
@@ -1,6 +1,6 @@
 import unittest
 
-from migen.fhdl.std import *
+from migen import *
 from migen.genlib.fifo import SyncFIFO
 
 from migen.test.support import SimCase, SimBench
index 5a4b09b4d6ef37a629bc669e4c0f0afea8ad19f4..9f065827955b323d15f55609bd500d1f1695175f 100644 (file)
@@ -1,6 +1,6 @@
 import unittest
 
-from migen.fhdl.std import *
+from migen import *
 from migen.test.support import SimCase
 
 
index 0e7a493e06353614d9a9ef04e88c11e4b78e4f03..2c29116a2d0657ee4b7f53bf17b453a19683a33b 100644 (file)
@@ -1,6 +1,6 @@
 import unittest
 
-from migen.fhdl.std import *
+from migen import *
 
 
 def _same_slices(a, b):
index 835b4c0718c736bc63d30a382895a145117e2cf5..13227528f6ebf9f7c8e4f2c4453ef397576dba3a 100644 (file)
@@ -1,7 +1,7 @@
 import unittest
 from random import randrange
 
-from migen.fhdl.std import *
+from migen import *
 from migen.genlib.sort import *
 
 from migen.test.support import SimCase
index 4ce80aefb3eef32b0cd42fc090337d287295a76e..5ca721ae51db3f1c6089d8e3b13db4bf039e080c 100644 (file)
@@ -2,7 +2,7 @@ import unittest
 import subprocess
 import os
 
-from migen.fhdl.std import *
+from migen import *
 from migen.fhdl.verilog import convert